Sébastien Pillement

Orcid: 0000-0002-9160-2896

Affiliations:
  • University of Nantes, France


According to our database1, Sébastien Pillement authored at least 81 papers between 1996 and 2023.

Collaborative distances:

Timeline

Legend:

Book 
In proceedings 
Article 
PhD thesis 
Dataset
Other 

Links

Online presence:

On csauthors.net:

Bibliography

2023
Formal Verification of Divider Circuits by Hardware Reduction.
Proceedings of the 19th International Conference on Synthesis, 2023

Fast Yet Accurate Timing and Power Prediction of Artificial Neural Networks Deployed on Clock-Gated Multi-Core Platforms.
Proceedings of the DroneSE and RAPIDO: System Engineering for constrained embedded systems, 2023

Securing a RISC-V architecture: A dynamic approach.
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2023

2022
A Hybrid Performance Prediction Approach for Fully-Connected Artificial Neural Networks on Multi-core Platforms.
Proceedings of the Embedded Computer Systems: Architectures, Modeling, and Simulation, 2022

QoS Aware Design-Time/Run-Time Manager for FPGA-Based Embedded Systems.
Proceedings of the Design and Architecture for Signal and Image Processing, 2022

2021
0-1 ILP-based run-time hierarchical energy optimization for heterogeneous cluster-based multi/many-core systems.
J. Syst. Archit., 2021

Experimental Evaluation of Statistical Model Checking Methods for Probabilistic Timing Analysis of Multiprocessor Systems.
Proceedings of the 24th Euromicro Conference on Digital System Design, 2021

A Fast Yet Accurate Message-level Communication Bus Model for Timing Prediction of SDFGs on MPSoC.
Proceedings of the ASPDAC '21: 26th Asia and South Pacific Design Automation Conference, 2021

2020
Formal Verification of Constrained Arithmetic Circuits using Computer Algebraic Approach.
Proceedings of the 2020 IEEE Computer Society Annual Symposium on VLSI, 2020

SPEAR: Hardware-based Implicit Rewriting for Square-root Circuit Verification.
Proceedings of the 2020 Design, Automation & Test in Europe Conference & Exhibition, 2020

Towards Malicious Exploitation of Energy Management Mechanisms.
Proceedings of the 2020 Design, Automation & Test in Europe Conference & Exhibition, 2020

2019
Functional Verification of Hardware Dividers using Algebraic Model.
Proceedings of the 27th IFIP/IEEE International Conference on Very Large Scale Integration, 2019

System-Level Modeling and Simulation of MPSoC Run-Time Management Using Execution Traces Analysis.
Proceedings of the Embedded Computer Systems: Architectures, Modeling, and Simulation, 2019

Experimental Evaluation of Probabilistic Execution-Time Modeling and Analysis Methods for SDF Applications on MPSoCs.
Proceedings of the Embedded Computer Systems: Architectures, Modeling, and Simulation, 2019

Formal Verification of Integer Dividers: Division by a Constant.
Proceedings of the 2019 IEEE Computer Society Annual Symposium on VLSI, 2019

Mapping and Frequency Joint Optimization for Energy Efficient Execution of Multiple Applications on Multicore Systems.
Proceedings of the 2019 Conference on Design and Architectures for Signal and Image Processing, 2019

2018
High-Level Reliability Evaluation of Reconfiguration-Based Fault Tolerance Techniques.
Proceedings of the 2018 IEEE International Parallel and Distributed Processing Symposium Workshops, 2018

FPGA Side Channel Attacks without Physical Access.
Proceedings of the 26th IEEE Annual International Symposium on Field-Programmable Custom Computing Machines, 2018

Hardware Runtime Verification of a RTOS Kernel: Evaluation Using Fault Injection.
Proceedings of the 14th European Dependable Computing Conference, 2018

2017
Cooperative Spectrum Sensing with Small Sample Size in Cognitive Wireless Sensor Networks.
Wirel. Pers. Commun., 2017

FTUC: A Flooding Tree Uneven Clustering Protocol for a Wireless Sensor Network.
Sensors, 2017

Model-driven reliability evaluation for MPSoC design.
Proceedings of the 2017 Conference on Design and Architectures for Signal and Image Processing, 2017

2016
Hardware runtime verification of embedded software in SoPC.
Proceedings of the 11th IEEE Symposium on Industrial Embedded Systems, 2016

2015
A Robust and Energy Efficient Cooperative Spectrum Sensing Scheme in Cognitive Wireless Sensor Networks.
Netw. Protoc. Algorithms, 2015

Fault-aware configurable logic block for reliable reconfigurable FPGAs.
Proceedings of the 2015 IEEE International Symposium on Circuits and Systems, 2015

A robust cooperative spectrum sensing method against faulty nodes in CWSNs.
Proceedings of the IEEE International Conference on Communication, 2015

2014
Design of the coarse-grained reconfigurable architecture DART with on-line error detection.
Microprocess. Microsystems, 2014

OCEAN, a flexible adaptive Network-On-Chip for dynamic applications.
Microprocess. Microsystems, 2014

FlexTiles: a globally homogeneous but locally heterogeneous manycore architecture.
Proceedings of the 2014 Workshop on Rapid Simulation and Performance Evaluation: Methods and Tools, 2014

Towards a Design Space Exploration Tool for MPSoC Platforms Designs: A Case Study.
Proceedings of the 22nd Euromicro International Conference on Parallel, 2014

Built-in 3-Dimensional Hamming Multiple-Error Correcting Scheme to Mitigate Radiation Effects in SRAM-Based FPGAs.
Proceedings of the Reconfigurable Computing: Architectures, Tools, and Applications, 2014

2013
Introduction.
Tech. Sci. Informatiques, 2013

Low-Overhead Fault-Tolerance Technique for a Dynamically Reconfigurable Softcore Processor.
IEEE Trans. Computers, 2013

Cluster based MPSoC architecture: an on-chip message passing implementation.
Des. Autom. Embed. Syst., 2013

Spatio-temporal scheduling for 3D reconfigurable & multiprocessor architecture.
Proceedings of the 8th International Design and Test Symposium, 2013

2012
Towards future adaptive multiprocessor systems-on-chip: An innovative approach for flexible architectures.
Proceedings of the 2012 International Conference on Embedded Computer Systems: Architectures, 2012

Impact of design parameters on performance of adaptive Network-on-Chips.
Proceedings of the 2012 International Conference on High Performance Computing & Simulation, 2012

UPaRC - Ultra-fast power-aware reconfiguration controller.
Proceedings of the 2012 Design, Automation & Test in Europe Conference & Exhibition, 2012

Gradient - An adaptive fault-tolerant routing algorithm for 2D mesh Network-on-Chips.
Proceedings of the 2012 Conference on Design and Architectures for Signal and Image Processing, 2012

2011
Real-time scheduling on heterogeneous system-on-chip architectures using an optimised artificial neural network.
J. Syst. Archit., 2011

Communication service for hardware tasks executed on dynamic and partial reconfigurable resources.
Proceedings of the IEEE/IFIP 19th International Conference on VLSI and System-on-Chip, 2011

Re<sup>2</sup>DA: Reliable and reconfigurable dynamic architecture.
Proceedings of the 6th International Workshop on Reconfigurable Communication-centric Systems-on-Chip, 2011

Hardware OS Communication Service and Dynamic Memory Management for RSoCs.
Proceedings of the 2011 International Conference on Reconfigurable Computing and FPGAs, 2011

Parallel Evaluation of Hopfield Neural Networks.
Proceedings of the NCTA 2011, 2011

Error recovery technique for coarse-grained reconfigurable architectures.
Proceedings of the 14th IEEE International Symposium on Design and Diagnostics of Electronic Circuits & Systems, 2011

A framework for the design of reconfigurable fault tolerant architectures.
Proceedings of the 2011 Conference on Design and Architectures for Signal and Image Processing, 2011

2010
Designing Efficient Codecs for Bus-Invert Berger Code for Fully Asymmetric Communication.
IEEE Trans. Circuits Syst. II Express Briefs, 2010

Spatio-temporal coding to improve speed and noise tolerance of on-chip interconnect.
Microelectron. J., 2010

Flexible Interconnection Network for Dynamically and Partially Reconfigurable Architectures.
Int. J. Reconfigurable Comput., 2010

Comments on "A Low-Power Dependable Berger Code for Fully Asymmetric Communication".
IEEE Commun. Lett., 2010

Mesh and Fat-Tree comparison for dynamically reconfigurable applications.
Proceedings of the 5th International Workshop on Reconfigurable Communication-centric Systems on Chip, 2010

R2NoC: Dynamically Reconfigurable Routers for Flexible Networks on Chip.
Proceedings of the ReConFig'10: 2010 International Conference on Reconfigurable Computing and FPGAs, 2010

Design of a fault-tolerant coarse-grained.
Proceedings of the 11th International Symposium on Quality of Electronic Design (ISQED 2010), 2010

Evaluation of Fault-Mitigation Schemes for Fault-Tolerant Dynamic MPSoC.
Proceedings of the International Conference on Field Programmable Logic and Applications, 2010

Task placement for dynamic and partial reconfigurable architecture.
Proceedings of the 2010 Conference on Design & Architectures for Signal & Image Processing, 2010

Conception d'architectures reconfigurables dynamiquement : Du silicium au système. (Dynamically reconfigurable architectures: From silicon to system management).
, 2010

2009
OveRSoC: A Framework for the Exploration of RTOS for RSoC Platforms.
Int. J. Reconfigurable Comput., 2009

A Fault-Tolerant Layer for Dynamically Reconfigurable Multi-processor System-on-Chip.
Proceedings of the ReConFig'09: 2009 International Conference on Reconfigurable Computing and FPGAs, 2009

High-Level Exploration for Dynamic Reconfiguration Management.
Proceedings of the 2009 International Conference on Engineering of Reconfigurable Systems & Algorithms, 2009

xMAML: A Modeling Language for Dynamically Reconfigurable Architectures.
Proceedings of the 12th Euromicro Conference on Digital System Design, 2009

2008
DART: A Functional-Level Reconfigurable Architecture for High Energy Efficiency.
EURASIP J. Embed. Syst., 2008

Efficient dynamic reconfiguration for multi-context embedded FPGA.
Proceedings of the 21st Annual Symposium on Integrated Circuits and Systems Design, 2008

A Framework for the Exploration of RTOS Dedicated to the Management of Hardware Reconfigurable Resources.
Proceedings of the ReConFig'08: 2008 International Conference on Reconfigurable Computing and FPGAs, 2008

2007
Architectures reconfigurable et faible consommation. Réalité ou prospective ?
Tech. Sci. Informatiques, 2007

A Neural Network Model for Real-Time Scheduling on Heterogeneous SoC Architectures.
Proceedings of the International Joint Conference on Neural Networks, 2007

Hardware task scheduling for heterogeneous soc architectures.
Proceedings of the 15th European Signal Processing Conference, 2007

Modeling of Interconnection Networks in Massively Parallel Processor Architectures.
Proceedings of the Architecture of Computing Systems, 2007

2006
Clear Stream towards Dynamically Reconfigurable Systems on Chip.
Proceedings of the 2nd International Workshop on Reconfigurable Communication-centric Systems-on-Chip, 2006

Area Efficient Temporal Coding Schemes for Reducing Crosstalk Effects.
Proceedings of the 7th International Symposium on Quality of Electronic Design (ISQED 2006), 2006

An energy-efficient ternary interconnection link for asynchronous systems.
Proceedings of the International Symposium on Circuits and Systems (ISCAS 2006), 2006

2005
Du microprocesseur au circuit FPGA. Une analyse sous l'angle de la reconfiguration.
Tech. Sci. Informatiques, 2005

Exploring RTOS issues with a high-level model of a reconfigurable SoC platform.
Proceedings of the 1st International Workshop on Reconfigurable Communication-centric Systems-on-Chip, 2005

A low-power and high-speed quaternary interconnection link using efficient converters.
Proceedings of the International Symposium on Circuits and Systems (ISCAS 2005), 2005

2002
Behavioral IP Specification and Integration Framework for High-Level Design Reuse.
Proceedings of the 3rd International Symposium on Quality of Electronic Design, 2002

DART: A Dynamically Reconfigurable Architecture Dealing with Future Mobile Telecommunications Constraints.
Proceedings of the 16th International Parallel and Distributed Processing Symposium (IPDPS 2002), 2002

Mapping future generation mobile telecommunication applications on a dynamically reconfigurable arcidtecture.
Proceedings of the IEEE International Conference on Acoustics, 2002

A Compilation Framework for a Dynamically Reconfigurable Architecture.
Proceedings of the Field-Programmable Logic and Applications, 2002

2001
A Dynamically Reconfigurable Architecture for Low-Power Multimedia Terminals.
Proceedings of the SOC Design Methodologies, 2001

1999
Fast Prototyping: A Case Study - The JPEG Compression Algorithm.
Proceedings of the Tenth IEEE International Workshop on Rapid System Prototyping (RSP 1999), 1999

Embedded Systems Design And Verification: Reuse Oriented Prototyping Methodologies.
Proceedings of the VLSI: Systems on a Chip, 1999

1996
Concurrent Design of Hardware/Software Dedicated Systems.
Proceedings of the Field-Programmable Logic, 1996


  Loading...