Kewal K. Saluja

According to our database1, Kewal K. Saluja authored at least 249 papers between 1972 and 2021.

Collaborative distances:

Awards

IEEE Fellow

IEEE Fellow 1995, "For contributions to the theory and practice of self-test of digital systems.".

Timeline

Legend:

Book 
In proceedings 
Article 
PhD thesis 
Dataset
Other 

Links

On csauthors.net:

Bibliography

2021
Fault Tolerant Lanczos Eigensolver via an Invariant Checking Method.
J. Electron. Test., 2021

2020
Fault Tolerance through Invariant Checking for the Lanczos Eigensolver.
Proceedings of the 33rd International Conference on VLSI Design and 19th International Conference on Embedded Systems, 2020

2018
Fault Tolerant Cholesky Factorization on GPUs.
Proceedings of the IEEE/ACM 8th Workshop on Fault Tolerance for HPC at eXtreme Scale, 2018

2017
A Method for Diagnosing Bridging Fault between a Gate Signal Line and a Clock Line.
IEICE Trans. Inf. Syst., 2017

A Reliability-Aware Methodology to Isolate Timing-Critical Paths under Aging.
J. Electron. Test., 2017

Identifying high variability speed-limiting paths under aging.
Proceedings of the 18th IEEE Latin American Test Symposium, 2017

Exploiting path delay test generation to develop better TDF tests for small delay defects.
Proceedings of the IEEE International Test Conference, 2017

2016
Necessary and Sufficient Conditions for Thermal Schedulability of Periodic Real-Time Tasks Under Fluid Scheduling Model.
ACM Trans. Embed. Comput. Syst., 2016

Diagnosis Methods for Gate Delay Faults with Various Amounts of Delays.
IPSJ Trans. Syst. LSI Des. Methodol., 2016

Digital Testing - Basics to Advanced Research Issues.
Proceedings of the 29th International Conference on VLSI Design and 15th International Conference on Embedded Systems, 2016

Fault Tolerance through Invariant Checking for Iterative Solvers.
Proceedings of the 29th International Conference on VLSI Design and 15th International Conference on Embedded Systems, 2016

Crypt-Delay: Encrypting IP Cores with Capabilities for Gate-level Logic and Delay Simulations.
Proceedings of the 25th IEEE Asian Test Symposium, 2016

2015
Optimal Test Scheduling of Stacked Circuits under Various Hardware and Power Constraints.
Proceedings of the 28th International Conference on VLSI Design, 2015

Thermal Extension of the Total Bandwidth Server.
Proceedings of the 28th International Conference on VLSI Design, 2015

Diagnosis of Delay Faults Considering Hazards.
Proceedings of the 2015 IEEE Computer Society Annual Symposium on VLSI, 2015

Transient Fault Resilient QR Factorization on GPUs.
Proceedings of the 5th Workshop on Fault Tolerance for HPC at eXtreme Scale, 2015

Privacy Assurance in Data-Aggregation for Multiple MAX Transactions.
Proceedings of the 39th Annual Computer Software and Applications Conference, 2015

A Methodology for Identifying High Timing Variability Paths in Complex Designs.
Proceedings of the 24th IEEE Asian Test Symposium, 2015

2014
Optimal Test Scheduling Formulation under Power Constraints with Dynamic Voltage and Frequency Scaling.
J. Electron. Test., 2014

A Test Partitioning Technique for Scheduling Tests for Thermally Constrained 3D Integrated Circuits.
Proceedings of the 2014 27th International Conference on VLSI Design, 2014

CryptIP: An Approach for Encrypting Intellectual Property Cores with Simulation Capabilities.
Proceedings of the 2014 27th International Conference on VLSI Design, 2014

Temperature Minimization Using Power Redistribution in Embedded Systems.
Proceedings of the 2014 27th International Conference on VLSI Design, 2014

Diagnosis of Gate Delay Faults in the Presence of Clock Delay Faults.
Proceedings of the IEEE Computer Society Annual Symposium on VLSI, 2014

Necessary and Sufficient Conditions for Thermal Schedulability of Periodic Real-Time Tasks.
Proceedings of the 26th Euromicro Conference on Real-Time Systems, 2014

2013
Test Generation for Delay Faults on Clock Lines under Launch-on-Capture Test Environment.
IEICE Trans. Inf. Syst., 2013

Scheduling Aperiodic Tasks in Next Generation Embedded Real-Time Systems.
Proceedings of the 26th International Conference on VLSI Design and 12th International Conference on Embedded Systems, 2013

On thermal utilization of periodic task sets in uni-processor systems.
Proceedings of the 2013 IEEE 19th International Conference on Embedded and Real-Time Computing Systems and Applications, 2013

Privacy Assurances in Multiple Data-Aggregation Transactions.
Proceedings of the Information Security and Cryptology - ICISC 2013, 2013

DRMA: dynamically reconfigurable MPSoC architecture.
Proceedings of the Great Lakes Symposium on VLSI 2013 (part of ECRC), 2013

Diagnosing Resistive Open Faults Using Small Delay Fault Simulation.
Proceedings of the 22nd Asian Test Symposium, 2013

Formulating Optimal Test Scheduling Problem with Dynamic Voltage and Frequency Scaling.
Proceedings of the 22nd Asian Test Symposium, 2013

2012
Impact of Body Bias Based Leakage Power Reduction on Soft Error Rate.
Proceedings of the 25th International Conference on VLSI Design, 2012

Diagnosis for Bridging Faults on Clock Lines.
Proceedings of the IEEE 18th Pacific Rim International Symposium on Dependable Computing, 2012

Linear Programming Formulations for Thermal-Aware Test Scheduling of 3D-Stacked Integrated Circuits.
Proceedings of the 21st IEEE Asian Test Symposium, 2012

2011
Power and Thermal Constrained Test Scheduling Under Deep Submicron Technologies.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2011

Routing TCP Flows in Underwater Mesh Networks.
IEEE J. Sel. Areas Commun., 2011

Calibrating On-chip Thermal Sensors in Integrated Circuits: A Design-for-Calibration Approach.
J. Electron. Test., 2011

Adaptive cost efficient deployment strategy for homogeneous wireless camera sensors.
Ad Hoc Networks, 2011

Thermal-Aware Test Scheduling Using On-chip Temperature Sensors.
Proceedings of the VLSI Design 2011: 24th International Conference on VLSI Design, 2011

Soft error reduction through gate input dependent weighted sizing in combinational circuits.
Proceedings of the 12th International Symposium on Quality Electronic Design, 2011

SEU tolerant SRAM cell.
Proceedings of the 12th International Symposium on Quality Electronic Design, 2011

A low cost approach to calibrate on-chip thermal sensors.
Proceedings of the 12th International Symposium on Quality Electronic Design, 2011

Adaptive execution assistance for multiplexed fault-tolerant chip multiprocessors.
Proceedings of the IEEE 29th International Conference on Computer Design, 2011

Enhancement of Clock Delay Faults Testing.
Proceedings of the 16th European Test Symposium, 2011

Indirect detection of clock skew induced hold-time violations on functional paths using scan shift operations.
Proceedings of the 14th IEEE International Symposium on Design and Diagnostics of Electronic Circuits & Systems, 2011

Temperature Dependent Test Scheduling for Multi-core System-on-Chip.
Proceedings of the 20th IEEE Asian Test Symposium, 2011

On Detecting Transition Faults in the Presence of Clock Delay Faults.
Proceedings of the 20th IEEE Asian Test Symposium, 2011

Fault simulation and test generation for clock delay faults.
Proceedings of the 16th Asia South Pacific Design Automation Conference, 2011

2010
Modeling latency - lifetime trade-off for target detection in mobile sensor networks.
ACM Trans. Sens. Networks, 2010

A Study of Capture-Safe Test Generation Flow for At-Speed Testing.
IEICE Trans. Fundam. Electron. Commun. Comput. Sci., 2010

Collaborative patrolling for target detection using mobile sensor networks.
Proceedings of the International Conference on Wireless Communications and Signal Processing, 2010

On Minimization of Test Application Time for RAS.
Proceedings of the VLSI Design 2010: 23rd International Conference on VLSI Design, 2010

Connected Barrier Coverage on a Narrow Band: Analysis and Deployment.
Proceedings of the Seventh Annual IEEE Communications Society Conference on Sensor, 2010

On techniques for handling soft errors in digital circuits.
Proceedings of the 2011 IEEE International Test Conference, 2010

Detection of inter-port bridging faults in dual-port memories.
Proceedings of the International Symposium on Circuits and Systems (ISCAS 2010), May 30, 2010

Test application time minimization for RAS using basis optimization of column decoder.
Proceedings of the International Symposium on Circuits and Systems (ISCAS 2010), May 30, 2010

Energy-efficient redundant execution for chip multiprocessors.
Proceedings of the 20th ACM Great Lakes Symposium on VLSI 2009, 2010

SEU tolerant SRAM for FPGA applications.
Proceedings of the International Conference on Field-Programmable Technology, 2010

Modified T-Flip-Flop based scan cell for RAS.
Proceedings of the 15th European Test Symposium, 2010

Energy-efficient fault tolerance in chip multiprocessors using Critical Value Forwarding.
Proceedings of the 2010 IEEE/IFIP International Conference on Dependable Systems and Networks, 2010

Gate input reconfiguration for combating soft errors in combinational circuits.
Proceedings of the IEEE/IFIP International Conference on Dependable Systems and Networks Workshops (DSN-W 2010), Chicago, Illinois, USA, June 28, 2010

Multiplexed redundant execution: A technique for efficient fault tolerance in chip multiprocessors.
Proceedings of the Design, Automation and Test in Europe, 2010

Post-silicon diagnosis of segments of failing speedpaths due to manufacturing variations.
Proceedings of the 47th Design Automation Conference, 2010

Controlling Peak Power Consumption for Scan Based Multiple Weighted Random BIST.
Proceedings of the 19th IEEE Asian Test Symposium, 2010

2009
Modeling Detection Latency with Collaborative Mobile Sensing Architecture.
IEEE Trans. Computers, 2009

An Algorithm for Diagnosing Transistor Shorts Using Gate-level Simulation.
IPSJ Trans. Syst. LSI Des. Methodol., 2009

Addressing Defect Coverage through Generating Test Vectors for Transistor Defects.
IEICE Trans. Fundam. Electron. Commun. Comput. Sci., 2009

Low-Area Wrapper Cell Design for Hierarchical SoC Testing.
J. Electron. Test., 2009

False Path Aware Timing Yield Estimation under Variability.
Proceedings of the 27th IEEE VLSI Test Symposium, 2009

WOR-BIST: A Complete Test Solution for Designs Meeting Power, Area and Performance Requirements.
Proceedings of the VLSI Design 2009: Improving Productivity through Higher Abstraction, 2009

Blindly Calibrating Mobile Sensors Using Piecewise Linear Functions.
Proceedings of the Sixth Annual IEEE Communications Society Conference on Sensor, 2009

Power and thermal constrained test scheduling.
Proceedings of the 2009 IEEE International Test Conference, 2009

DX-compactor: distributed X-compaction for SoCs.
Proceedings of the 19th ACM Great Lakes Symposium on VLSI 2009, 2009

Partition Based SoC Test Scheduling with Thermal and Power Constraints under Deep Submicron Technologies.
Proceedings of the Eighteentgh Asian Test Symposium, 2009

2008
Analysis and test procedures for NOR flash memory defects.
Microelectron. Reliab., 2008

Maximizing Stuck-Open Fault Coverage Using Stuck-at Test Vectors.
IEICE Trans. Fundam. Electron. Commun. Comput. Sci., 2008

Fault Simulation and Test Generation for Transistor Shorts Using Stuck-at Test Tools.
IEICE Trans. Inf. Syst., 2008

Low Capture Switching Activity Test Generation for Reducing IR-Drop in At-Speed Scan Testing.
J. Electron. Test., 2008

NBTI Degradation: A Problem or a Scare?
Proceedings of the 21st International Conference on VLSI Design (VLSI Design 2008), 2008

Testing Flash Memories for Tunnel Oxide Defects.
Proceedings of the 21st International Conference on VLSI Design (VLSI Design 2008), 2008

Calibrating Nonlinear Mobile Sensors.
Proceedings of the Fifth Annual IEEE Communications Society Conference on Sensor, 2008

Implementing high availability memory with a duplication cache.
Proceedings of the 41st Annual IEEE/ACM International Symposium on Microarchitecture (MICRO-41 2008), 2008

Moments Based Blind Calibration in Mobile Sensor Networks.
Proceedings of IEEE International Conference on Communications, 2008

A Capture-Safe Test Generation Scheme for At-Speed Scan Testing.
Proceedings of the 13th European Test Symposium, 2008

An accurate flip-flop selection technique for reducing logic SER.
Proceedings of the 38th Annual IEEE/IFIP International Conference on Dependable Systems and Networks, 2008

Increasing Defect Coverage by Generating Test Vectors for Stuck-Open Faults.
Proceedings of the 17th IEEE Asian Test Symposium, 2008

2007
Diagnosing At-Speed Scan BIST Circuits Using a Low Speed and Low Memory Tester.
IEEE Trans. Very Large Scale Integr. Syst., 2007

A Novel ATPG Method for Capture Power Reduction during Scan Testing.
IEICE Trans. Inf. Syst., 2007

Acceleration of Test Generation for Sequential Circuits Using Knowledge Obtained from Synthesis for Testability.
IEICE Trans. Inf. Syst., 2007

On NBTI Degradation Process in Digital Logic Circuits.
Proceedings of the 20th International Conference on VLSI Design (VLSI Design 2007), 2007

Test Time Reduction to Test for Path-Delay Faults using Enhanced Random-Access Scan.
Proceedings of the 20th International Conference on VLSI Design (VLSI Design 2007), 2007

Fault Coverage and Fault Efficiency of Transistor Shorts using Gate-Level Simulation and Test Generation.
Proceedings of the 20th International Conference on VLSI Design (VLSI Design 2007), 2007

Combating NBTI Degradation via Gate Sizing.
Proceedings of the 8th International Symposium on Quality of Electronic Design (ISQED 2007), 2007

Critical-Path-Aware X-Filling for Effective IR-Drop Reduction in At-Speed Scan Testing.
Proceedings of the 44th Design Automation Conference, 2007

Test Generation for Transistor Shorts using Stuck-at Fault Simulator and Test Generator.
Proceedings of the 16th Asian Test Symposium, 2007

2006
Instruction-Based Self-Testing of Delay Faults in Pipelined Processors.
IEEE Trans. Very Large Scale Integr. Syst., 2006

Energy Estimation of the Memory Subsystem in Multiprocessor Systems.
J. Low Power Electron., 2006

Vulnerability of Surveillance Networks to Faults.
Int. J. Distributed Sens. Networks, 2006

A New Method for Low-Capture-Power Test Generation for Scan Testing.
IEICE Trans. Inf. Syst., 2006

A Per-Test Fault Diagnosis Method Based on the <i>X</i>-Fault Model.
IEICE Trans. Inf. Syst., 2006

Error Identification in At-Speed Scan BIST Environment in the Presence of Circuit and Tester Speed Mismatch.
IEICE Trans. Inf. Syst., 2006

A New ATPG Method for Efficient Capture Power Reduction During Scan Testing.
Proceedings of the 24th IEEE VLSI Test Symposium (VTS 2006), 30 April, 2006

Test Cost Reduction Using Partitioned Grid Random Access Scan.
Proceedings of the 19th International Conference on VLSI Design (VLSI Design 2006), 2006

Analytic modeling of detection latency in mobile sensor networks.
Proceedings of the Fifth International Conference on Information Processing in Sensor Networks, 2006

Highly-Guided X-Filling Method for Effective Low-Capture-Power Scan Test Generation.
Proceedings of the 24th International Conference on Computer Design (ICCD 2006), 2006

Optimal Sensor Distribution for Maximum Exposure in A Region with Obstacles.
Proceedings of the Global Telecommunications Conference, 2006. GLOBECOM '06, San Francisco, CA, USA, 27 November, 2006

Diagnosis of Transistor Shorts in Logic Test Environment.
Proceedings of the 15th Asian Test Symposium, 2006

Compaction of pass/fail-based diagnostic test vectors for combinational and sequential circuits.
Proceedings of the 2006 Conference on Asia South Pacific Design Automation: ASP-DAC 2006, 2006

2005
A method for reducing the target fault list of crosstalk faults in synchronous sequential circuits.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2005

Optimizing program disturb fault tests using defect-based testing.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2005

Combinational automatic test pattern generation for acyclic sequential circuits.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2005

Efficient Test Set Modification for Capture Power Reduction.
J. Low Power Electron., 2005

Fault Diagnosis of Physical Defects Using Unknown Behavior Model.
J. Comput. Sci. Technol., 2005

On Design for I<sub>DDQ</sub>-Based Diagnosability of CMOS Circuits Using Multiple Power Supplies.
IEICE Trans. Inf. Syst., 2005

Delay Fault Testing of Processor Cores in Functional Mode.
IEICE Trans. Inf. Syst., 2005

Yield-Driven, False-Path-Aware Clock Skew Scheduling.
IEEE Des. Test Comput., 2005

On Low-Capture-Power Test Generation for Scan Testing.
Proceedings of the 23rd IEEE VLSI Test Symposium (VTS 2005), 2005

False Path and Clock Scheduling Based Yield-Aware Gate Sizing.
Proceedings of the 18th International Conference on VLSI Design (VLSI Design 2005), 2005

SCINDY: Logic Crosstalk Delay Fault Simulation in Sequential Circuits.
Proceedings of the 18th International Conference on VLSI Design (VLSI Design 2005), 2005

Exposure for collaborative detection using mobile sensor networks.
Proceedings of the IEEE 2nd International Conference on Mobile Adhoc and Sensor Systems, 2005

Low-capture-power test generation for scan-based at-speed testing.
Proceedings of the Proceedings 2005 IEEE International Test Conference, 2005

Design and analysis of multiple weight linear compactors of responses containing unknown values.
Proceedings of the Proceedings 2005 IEEE International Test Conference, 2005

Progressive random access scan: a simultaneous solution to test power, test data volume and test time.
Proceedings of the Proceedings 2005 IEEE International Test Conference, 2005

Instruction-based delay fault self-testing of pipelined processor cores.
Proceedings of the International Symposium on Circuits and Systems (ISCAS 2005), 2005

Testing Superscalar Processors in Functional Mode.
Proceedings of the 2005 International Conference on Field Programmable Logic and Applications (FPL), 2005

A Class of Linear Space Compactors for Enhanced Diagnostic.
Proceedings of the 14th Asian Test Symposium (ATS 2005), 2005

State-reuse Test Generation for Progressive Random Access Scan: Solution to Test Power, Application Time and Data Size.
Proceedings of the 14th Asian Test Symposium (ATS 2005), 2005

2004
Fault Tolerance in Collaborative Sensor Networks for Target Detection.
IEEE Trans. Computers, 2004

Instruction-Based Delay Fault Self-Testing of Processor Cores.
Proceedings of the 17th International Conference on VLSI Design (VLSI Design 2004), 2004

Static Timing Analysis of Irreversible Crosstalk Noise Pulse Faults.
Proceedings of the 17th International Conference on VLSI Design (VLSI Design 2004), 2004

Random Access Scan: A solution to test power, test data volume and test time.
Proceedings of the 17th International Conference on VLSI Design (VLSI Design 2004), 2004

Testing Micropipelined Asynchronous Circuits.
Proceedings of the Proceedings 2004 International Test Conference (ITC 2004), 2004

Testing of Hard Faults in Simultaneous Multithreaded Processors.
Proceedings of the 10th IEEE International On-Line Testing Symposium (IOLTS 2004), 2004

On per-test fault diagnosis using the X-fault model.
Proceedings of the 2004 International Conference on Computer-Aided Design, 2004

A yield improvement methodology using pre- and post-silicon statistical clock scheduling.
Proceedings of the 2004 International Conference on Computer-Aided Design, 2004

Enhanced 3-valued logic/fault simulation for full scan circuits using implicit logic values.
Proceedings of the 9th European Test Symposium, 2004

2003
Sensor Deployment Strategy for Detection of Targets Traversing a Region.
Mob. Networks Appl., 2003

Electrical Model For Program Disturb Faults in Non-Volatile Memories.
Proceedings of the 16th International Conference on VLSI Design (VLSI Design 2003), 2003

Exclusive Test and its Applications to Fault Diagnosis.
Proceedings of the 16th International Conference on VLSI Design (VLSI Design 2003), 2003

Event-Centric Simulation of Crosstalk Pulse Faults in Sequential Circuits.
Proceedings of the 21st International Conference on Computer Design (ICCD 2003), 2003

Fault Diagnosis for Physical Defects of Unknown Behaviors.
Proceedings of the 12th Asian Test Symposium (ATS 2003), 17-19 November 2003, Xian, China, 2003

Software-Based Delay Fault Testing of Processor Cores.
Proceedings of the 12th Asian Test Symposium (ATS 2003), 17-19 November 2003, Xian, China, 2003

Outstanding Challenges in Testing Nanotechnology Based Integrated Circuits.
Proceedings of the 12th Asian Test Symposium (ATS 2003), 17-19 November 2003, Xian, China, 2003

Stress Test for Disturb Faults in Non-Volatile Memories.
Proceedings of the 12th Asian Test Symposium (ATS 2003), 17-19 November 2003, Xian, China, 2003

2002
On diagnosing multiple stuck-at faults using multiple and singlefault simulation in combinational circuits.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2002

Minimizing Energy Consumption for High-Performance Processing.
Proceedings of the 7th Asia and South Pacific Design Automation Conference (ASP-DAC 2002), 2002

Estimation of Maximum Power-Up Current.
Proceedings of the 7th Asia and South Pacific Design Automation Conference (ASP-DAC 2002), 2002

Multiple Faults: Modeling, Simulation and Test.
Proceedings of the 7th Asia and South Pacific Design Automation Conference (ASP-DAC 2002), 2002

An Alternative Method of Generating Tests for Path Delay Faults Using N -Detection Test Sets.
Proceedings of the 9th Pacific Rim International Symposium on Dependable Computing (PRDC 2002), 2002

Sensor deployment strategy for target detection.
Proceedings of the First ACM International Workshop on Wireless Sensor Networks and Applications, 2002

A Concurrent Fault Simulation for Crosstalk Faults in Sequential Circuits.
Proceedings of the 11th Asian Test Symposium (ATS 2002), 18-20 November 2002, Guam, USA, 2002

Reduction of Target Fault List for Crosstalk-Induced Delay Faults by using Layout Constraints.
Proceedings of the 11th Asian Test Symposium (ATS 2002), 18-20 November 2002, Guam, USA, 2002

2001
Fault Models and Test Procedures for Flash Memory Disturbances.
J. Electron. Test., 2001

Flash Memory Disturbances: Modeling and Test.
Proceedings of the 19th IEEE VLSI Test Symposium (VTS 2001), Test and Diagnosis in a Nanometric World, 29 April, 2001

Testable Sequential Circuit Design: A Partition and Resynthesis Approach.
Proceedings of the 19th IEEE VLSI Test Symposium (VTS 2001), Test and Diagnosis in a Nanometric World, 29 April, 2001

Combinational Test Generation for Acyclic SequentialCircuits using a Balanced ATPG Model.
Proceedings of the 14th International Conference on VLSI Design (VLSI Design 2001), 2001

Efficient Signature-Based Fault Diagnosis Using Variable Size Windows.
Proceedings of the 14th International Conference on VLSI Design (VLSI Design 2001), 2001

Combinational test generation for various classes of acyclic sequential circuits.
Proceedings of the Proceedings IEEE International Test Conference 2001, Baltimore, MD, USA, 30 October, 2001

On reducing the target fault list of crosstalk-induced delay faults in synchronous sequential circuits.
Proceedings of the Proceedings IEEE International Test Conference 2001, Baltimore, MD, USA, 30 October, 2001

Simulation-Based Diagnosis for Crosstalk Faults in Sequential Circuits.
Proceedings of the 10th Asian Test Symposium (ATS 2001), 19-21 November 2001, Kyoto, Japan, 2001

2000
Static test compaction for IDDQ testing of bridging faults in sequential circuits.
Syst. Comput. Jpn., 2000

Algorithms to Select IDDQ Measurement Vectors for Bridging Faults in Sequential Circuits.
J. Electron. Test., 2000

Design for Strong Testability of RTL Data Paths to Provide Complete Fault Efficiency.
Proceedings of the 13th International Conference on VLSI Design (VLSI Design 2000), 2000

Testing Flash Memories.
Proceedings of the 13th International Conference on VLSI Design (VLSI Design 2000), 2000

Fault Tolerance through Re-Execution in Multiscalar Architecture.
Proceedings of the 2000 International Conference on Dependable Systems and Networks (DSN 2000) (formerly FTCS-30 and DCCA-8), 2000

Fault models and test generation for IDDQ testing: embedded tutorial.
Proceedings of ASP-DAC 2000, 2000

1999
Efficient Techniques for Reducing IDDQ Observation Time for Sequential Circuits.
Proceedings of the 12th International Conference on VLSI Design (VLSI Design 1999), 1999

A Correlation Matrix Method of Clock Partitioning for Sequential Circuit Testability.
Proceedings of the 9th Great Lakes Symposium on VLSI (GLS-VLSI '99), 1999

Fault Simulation Techniques to Reduce IDDQ Measurement Vectors for Sequential Circuits.
Proceedings of the 8th Asian Test Symposium (ATS '99), 1999

1998
A Novel Approach to Random Pattern Testing of Sequential Circuits.
IEEE Trans. Computers, 1998

Sequential test generators: past, present and future.
Integr., 1998

A Heuristic Measure to Maximize Detected Faults per Test.
J. Electron. Test., 1998

On Test Pattern Compaction Using Random Pattern Fault Simulation.
Proceedings of the 11th International Conference on VLSI Design (VLSI Design 1991), 1998

Design for Diagnosability of CMOS Circuits.
Proceedings of the 7th Asian Test Symposium (ATS '98), 2-4 December 1998, Singapore, 1998

Observation Time Reduction for IDDQ Testing of Briding Faults in Sequential Circuits.
Proceedings of the 7th Asian Test Symposium (ATS '98), 2-4 December 1998, Singapore, 1998

1997
Scheduling tests for VLSI systems under power constraints.
IEEE Trans. Very Large Scale Integr. Syst., 1997

Guest Editorial.
J. Electron. Test., 1997

Sequential Circuit Testing: From DFT to SFT.
Proceedings of the 10th International Conference on VLSI Design (VLSI Design 1997), 1997

1996
HYSIM: Hybrid Fault Simulation for Synchronous Sequential Circuits.
VLSI Design, 1996

Incorporating performance and testability constraints during binding in high-level synthesis.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 1996

Testing reconfigured RAM's and scrambled address RAM's for pattern sensitive faults.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 1996

Methods for Dynamic Test Vector compaction in Sequential Test Generation.
Proceedings of the 9th International Conference on VLSI Design (VLSI Design 1996), 1996

A new method towards achieving global optimality in technology mapping.
Proceedings of the 1996 IEEE/ACM International Conference on Computer-Aided Design, 1996

Random Pattern Testing for Sequential Circuits Revisited.
Proceedings of the Digest of Papers: FTCS-26, 1996

1995
Test application time reduction for sequential circuits with scan.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 1995

An optimized testable architecture for finite state machines.
Proceedings of the 13th IEEE VLSI Test Symposium (VTS'95), April 30, 1995

Fast computation of MISR signatures.
Proceedings of the 8th International Conference on VLSI Design (VLSI Design 1995), 1995

Test application time reduction for scan based sequential circuits.
Proceedings of the 5th Great Lakes Symposium on VLSI (GLS-VLSI '95), 1995

Synthesizing Finite State Machines for Minimum Length Synchronizing Sequence Using Partial Scan.
Proceedings of the Digest of Papers: FTCS-25, 1995

1994
Hypergraph Coloring and Reconfigured RAM Testing.
IEEE Trans. Computers, 1994

On-chip testing of random access memories.
J. Electron. Test., 1994

Incorporating testability considerations in high-level synthesis.
J. Electron. Test., 1994

Sequential test generation with reduced test clocks for partial scan designs.
Proceedings of the 12th IEEE VLSI Test Symposium (VTS'94), 1994

An Algorithm to Test Reconfigured RAMs.
Proceedings of the Seventh International Conference on VLSI Design, 1994

Power Constraint Scheduling of Tests.
Proceedings of the Seventh International Conference on VLSI Design, 1994

Behavioral Synthesis of Testable Designs.
Proceedings of the Digest of Papers: FTCS/24, 1994

1993
Theory, Analysis and Implementation of an On-Line BIST Technique.
VLSI Design, 1993

An efficient algorithm for bipartite PLA folding.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 1993

An Efficient Algorithm for Sequential Circuit Test Generation.
IEEE Trans. Computers, 1993

A Tutorial on Built-In Self-Test, Part 2: Applications.
IEEE Des. Test Comput., 1993

A Tutorial on Built-in Self-Test. I. Principles.
IEEE Des. Test Comput., 1993

CCSTG: an efficient test pattern generator for sequential circuits.
Proceedings of the 11th IEEE VLSI Test Symposium (VTS'93), 1993

Efficient Test Vectors for ISCAS Sequential Benchmark Circuits.
Proceedings of the 1993 IEEE International Symposium on Circuits and Systems, 1993

1992
An Efficient Signature Computation Method.
IEEE Des. Test Comput., 1992

Zero cost testing of check bits in RAMs with on-chip ECC.
Proceedings of the 10th IEEE VLSI Test Symposium (VTS'92), 1992

On fault deletion problem in concurrent fault simulation for synchronous sequential circuits.
Proceedings of the 10th IEEE VLSI Test Symposium (VTS'92), 1992

An Efficient Method for Computation of Signatures.
Proceedings of the Fifth International Conference on VLSI Design, 1992

An algorithm to reduce test application time in full scan designs.
Proceedings of the 1992 IEEE/ACM International Conference on Computer-Aided Design, 1992

Incorporating Testability Considerations in High-Level Systhesis.
Proceedings of the Digest of Papers: FTCS-22, 1992

1991
A method of reducing aliasing in a built-in self-test environment.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 1991

An Algorithm to Test Rams for Physical Neighborhood Pattern Sensitive Faults.
Proceedings of the Proceedings IEEE International Test Conference 1991, 1991

Pattern Sensitive Fault Testing of RAMs with Bullt-in ECC.
Proceedings of the 1991 International Symposium on Fault-Tolerant Computing, 1991

1990
Design and Analysis of a Gracefully Degrading Interleaved Memory System.
IEEE Trans. Computers, 1990

Improved Test Generation for High-Activity Circuits.
IEEE Des. Test Comput., 1990

Built-in Self-testing of Random-Access Memories.
Computer, 1990

1989
Design of a BIST RAM with Row/Column Pattern Sensitive Fault Detection Capability.
Proceedings of the Proceedings International Test Conference 1989, 1989

Fast test generation for sequential circuits.
Proceedings of the 1989 IEEE International Conference on Computer-Aided Design, 1989

A study of time-redundant fault tolerance techniques for high-performance pipelined computers.
Proceedings of the Nineteenth International Symposium on Fault-Tolerant Computing, 1989

Row/column pattern sensitive fault detection in RAMs via built-in self-test.
Proceedings of the Nineteenth International Symposium on Fault-Tolerant Computing, 1989

1988
A new approach to the design of built-in self-testing PLAs for high fault coverage.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 1988

A concurrent testing technique for digital circuits.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 1988

An experimental study to determine task size for rollback recovery systems.
IEEE Trans. Computers, 1988

A Data Compression Technique for Built-In Self-Test.
IEEE Trans. Computers, 1988

Test Scheduling and Control for VLSI Built-In Self-Test.
IEEE Trans. Computers, 1988

An implementation and analysis of a concurrent built-in self-test technique.
Proceedings of the Eighteenth International Symposium on Fault-Tolerant Computing, 1988

1987
Built-In Self-Testing RAM: A Practical Alternative.
IEEE Des. Test, 1987

Organization and Analysis of a Gracefully-Degrading Interleaved Memory System.
Proceedings of the 14th Annual International Symposium on Computer Architecture. Pittsburgh, 1987

BIST-PLA: A Built-in Self-Test Design of Large Programmable Logic Arrays.
Proceedings of the 24th ACM/IEEE Design Automation Conference. Miami Beach, FL, USA, June 28, 1987

1986
A Wachtdog Processor Based General Rollback Technique with Multiple Retries.
IEEE Trans. Software Eng., 1986

Testable Design of Single-Output Sequential Machines Using Checking Experiments.
IEEE Trans. Computers, 1986

An Alternative to Scan Design Methods for Sequential Machines.
IEEE Trans. Computers, 1986

Built-In Testing of Memory Using an On-Chip Compact Testing Scheme.
IEEE Trans. Computers, 1986

A Novel Approach for Testing Memories Using a Built-In Self Testing Technique.
Proceedings of the Proceedings International Test Conference 1986, 1986

1985
Test Pattern Generation for API Faults in RAM.
IEEE Trans. Computers, 1985

Design of Programmable Logic Arrays for Parallel Testing.
Comput. Syst. Sci. Eng., 1985

A Testable Design of Programmable Logic Arrays with Universal Control and Minimal Overhead.
Proceedings of the Proceedings International Test Conference 1985, 1985

1984
Testable design of large random access memories.
Integr., 1984

Built-in Testing of Memory Using On-chip Compact Testing Scheme.
Proceedings of the Proceedings International Test Conference 1984, 1984

1983
An Easily Testable Design of Programmable Logic Arrays for Multiple Faults.
IEEE Trans. Computers, 1983

A Simplified Algorithm for Testing Microprocessors.
Proceedings of the Proceedings International Test Conference 1983, 1983

Testing Computer Hardware through Data Compression in Space and Time.
Proceedings of the Proceedings International Test Conference 1983, 1983

1982
An enhancement of lssd to reduce test pattern generation effort and increase fault coverage.
Proceedings of the 19th Design Automation Conference, 1982

1980
Synchronous Sequential Machines: A Modular and Testable Design.
IEEE Trans. Computers, 1980

Fault diagnosis in loop-connected systems.
Inf. Sci., 1980

1979
Minimization of Reed-Muller Canonic Expansion.
IEEE Trans. Computers, 1979

1975
Fault Detecting Test Sets for Reed-Muller Canonic Networks.
IEEE Trans. Computers, 1975

1974
Easily Testable Two-Dimensional Cellular Logic Arrays.
IEEE Trans. Computers, 1974

On Minimally Testable Logic Networks.
IEEE Trans. Computers, 1974

1972
Multiple Faults in Reed-Muller Canonic Networks
Proceedings of the 13th Annual Symposium on Switching and Automata Theory, 1972


  Loading...