Jhih-Rong Gao

According to our database1, Jhih-Rong Gao authored at least 25 papers between 2007 and 2020.

Collaborative distances:
  • Dijkstra number2 of five.
  • Erdős number3 of four.

Timeline

Legend:

Book 
In proceedings 
Article 
PhD thesis 
Dataset
Other 

Links

On csauthors.net:

Bibliography

2020
A Unified Framework for Simultaneous Layout Decomposition and Mask Optimization.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2020

2018
MrDP: Multiple-Row Detailed Placement of Heterogeneous-Sized Cells for Advanced Nodes.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2018

2017
A unified framework for simultaneous layout decomposition and mask optimization.
Proceedings of the 2017 IEEE/ACM International Conference on Computer-Aided Design, 2017

2016
EBL Overlapping Aware Stencil Planning for MCC System.
ACM Trans. Design Autom. Electr. Syst., 2016

PARR: Pin-Access Planning and Regular Routing for Self-Aligned Double Patterning.
ACM Trans. Design Autom. Electr. Syst., 2016

2015
Methodology for Standard Cell Compliance and Detailed Placement for Triple Patterning Lithography.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2015

Directed Self-Assembly Based Cut Mask Optimization for Unidirectional Design.
Proceedings of the 25th edition on Great Lakes Symposium on VLSI, GLVLSI 2015, Pittsburgh, PA, USA, May 20, 2015

2014
Triple Patterning Lithography (TPL) Layout Decomposition using End-Cutting (JM3 Special Session).
CoRR, 2014

Triple Patterning Lithography (TPL) Layout Decomposition using End-Cutting.
CoRR, 2014

Lithography Hotspot Detection and Mitigation in Nanometer VLSI.
CoRR, 2014

Self-Aligned Double Patterning Friendly Configuration for Standard Cell Library Considering Placement.
CoRR, 2014

MOSAIC: Mask Optimizing Solution With Process Window Aware Inverse Correction.
Proceedings of the 51st Annual Design Automation Conference 2014, 2014

Self-aligned double patterning layout decomposition with complementary e-beam lithography.
Proceedings of the 19th Asia and South Pacific Design Automation Conference, 2014

2013
Design for Manufacturing With Emerging Nanolithography.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2013

Methodology for standard cell compliance and detailed placement for triple patterning lithography.
Proceedings of the IEEE/ACM International Conference on Computer-Aided Design, 2013

E-BLOW: e-beam lithography overlapping aware stencil planning for MCC system.
Proceedings of the 50th Annual Design Automation Conference 2013, 2013

L-shape based layout fracturing for e-beam lithography.
Proceedings of the 18th Asia and South Pacific Design Automation Conference, 2013

Lithography hotspot detection and mitigation in nanometer VLSI.
Proceedings of the IEEE 10th International Conference on ASIC, 2013

2012
VLSI CAD for emerging nanolithography.
Proceedings of Technical Program of 2012 VLSI Design, Automation and Test, 2012

Flexible self-aligned double patterning aware detailed routing with prescribed layout planning.
Proceedings of the International Symposium on Physical Design, 2012

Dealing with IC manufacturability in extreme scaling (Embedded tutorial paper).
Proceedings of the 2012 IEEE/ACM International Conference on Computer-Aided Design, 2012

2011
AENEID: a generic lithography-friendly detailed router based on post-RET data learning and hotspot detection.
Proceedings of the 48th Design Automation Conference, 2011

2010
NTHU-Route 2.0: A Robust Global Router for Modern Designs.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2010

2008
A new global router for modern designs.
Proceedings of the 13th Asia South Pacific Design Automation Conference, 2008

2007
A Fast and Stable Algorithm for Obstacle-Avoiding Rectilinear Steiner Minimal Tree Construction.
Proceedings of the 12th Conference on Asia South Pacific Design Automation, 2007


  Loading...