Yuzhe Ma

Orcid: 0000-0002-3612-4182

According to our database1, Yuzhe Ma authored at least 82 papers between 2015 and 2024.

Collaborative distances:

Timeline

Legend:

Book 
In proceedings 
Article 
PhD thesis 
Dataset
Other 

Links

On csauthors.net:

Bibliography

2024
Small is Beautiful: Compressing Deep Neural Networks for Partial Domain Adaptation.
IEEE Trans. Neural Networks Learn. Syst., March, 2024

L2O-ILT: Learning to Optimize Inverse Lithography Techniques.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., March, 2024

pNeurFill: Enhanced Neural Network Model-Based Dummy Filling Synthesis With Perimeter Adjustment.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., February, 2024

BOOM-Explorer: RISC-V BOOM Microarchitecture Design Space Exploration.
ACM Trans. Design Autom. Electr. Syst., January, 2024

RL-OPC: Mask Optimization With Deep Reinforcement Learning.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., January, 2024

E-Syn: E-Graph Rewriting with Technology-Aware Cost Functions for Logic Synthesis.
CoRR, 2024

FuILT: Full Chip ILT System With Boundary Healing.
Proceedings of the 2024 International Symposium on Physical Design, 2024

BadRL: Sparse Targeted Backdoor Attack against Reinforcement Learning.
Proceedings of the Thirty-Eighth AAAI Conference on Artificial Intelligence, 2024

Towards Automated RISC-V Microarchitecture Design with Reinforcement Learning.
Proceedings of the Thirty-Eighth AAAI Conference on Artificial Intelligence, 2024

2023
DevelSet: Deep Neural Level Set for Instant Mask Optimization.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., December, 2023

CTM-SRAF: Continuous Transmission Mask-Based Constraint-Aware Subresolution Assist Feature Generation.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., October, 2023

A GPU-Enabled Level-Set Method for Mask Optimization.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., February, 2023

PTPT: Physical Design Tool Parameter Tuning via Multi-Objective Bayesian Optimization.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2023

ASAP: Accurate Synthesis Analysis and Prediction with Multi-Task Learning.
Proceedings of the 5th ACM/IEEE Workshop on Machine Learning for CAD, 2023

ArchExplorer: Microarchitecture Exploration Via Bottleneck Analysis.
Proceedings of the 56th Annual IEEE/ACM International Symposium on Microarchitecture, 2023

Adversarial Attacks on Adversarial Bandits.
Proceedings of the Eleventh International Conference on Learning Representations, 2023

Efficient Design Rule Checking with GPU Acceleration.
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2023

RL-MUL: Multiplier Design Optimization with Deep Reinforcement Learning.
Proceedings of the 60th ACM/IEEE Design Automation Conference, 2023

OpenDRC: An Efficient Open-Source Design Rule Checking Engine with Hierarchical GPU Acceleration.
Proceedings of the 60th ACM/IEEE Design Automation Conference, 2023

Physics-Informed Optical Kernel Regression Using Complex-valued Neural Fields.
Proceedings of the 60th ACM/IEEE Design Automation Conference, 2023

2022
Deep Learning-Driven Simultaneous Layout Decomposition and Mask Optimization.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2022

Analog Circuit Yield Optimization via Freeze-Thaw Bayesian Optimization Technique.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2022

Adaptive Layout Decomposition With Graph Embedding Neural Networks.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2022

Neural-ILT 2.0: Migrating ILT to Domain-Specific and Multitask-Enabled Neural Network.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2022

High-Speed Adder Design Space Exploration via Graph Neural Processes.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2022

DAMO: Deep Agile Mask Optimization for Full-Chip Scale.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2022

Rethinking Graph Neural Networks for the Graph Coloring Problem.
CoRR, 2022

Game Redesign in No-regret Game Playing.
Proceedings of the Thirty-First International Joint Conference on Artificial Intelligence, 2022

AdaOPC: A Self-Adaptive Mask Optimization Framework for Real Design Patterns.
Proceedings of the 41st IEEE/ACM International Conference on Computer-Aided Design, 2022

X-Check: CPU-Accelerated Design Rule Checking via Parallel Sweepline Algorithms.
Proceedings of the 41st IEEE/ACM International Conference on Computer-Aided Design, 2022

2021
Machine Learning for Electronic Design Automation: A Survey.
ACM Trans. Design Autom. Electr. Syst., 2021

OpenMPL: An Open-Source Layout Decomposer.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2021

VLSI mask optimization: From shallow to deep learning.
Integr., 2021

BOOM-Explorer: RISC-V BOOM Microarchitecture Design Space Exploration Framework.
Proceedings of the IEEE/ACM International Conference On Computer Aided Design, 2021

NeurFill: Migrating Full-Chip CMP Simulators to Neural Networks for Model-Based Dummy Filling Synthesis.
Proceedings of the 58th ACM/IEEE Design Automation Conference, 2021

A New Missing Data Generation Method Based on An Improved DCGAN With Application to RUL Prediction.
Proceedings of the CAA Symposium on Fault Detection, 2021

Sneak circuit analysis considering component performance degradation under digital twin model.
Proceedings of the CAA Symposium on Fault Detection, 2021

TreeNet: Deep Point Cloud Embedding for Routing Tree Construction.
Proceedings of the ASPDAC '21: 26th Asia and South Pacific Design Automation Conference, 2021

Physical Synthesis for Advanced Neural Network Processors.
Proceedings of the ASPDAC '21: 26th Asia and South Pacific Design Automation Conference, 2021

The Sample Complexity of Teaching by Reinforcement on Q-Learning.
Proceedings of the Thirty-Fifth AAAI Conference on Artificial Intelligence, 2021

Sequential Attacks on Kalman Filter-based Forward Collision Warning Systems.
Proceedings of the Thirty-Fifth AAAI Conference on Artificial Intelligence, 2021

2020
Adversarial Perturbation Attacks on ML-based CAD: A Case Study on CNN-based Lithographic Hotspot Detection.
ACM Trans. Design Autom. Electr. Syst., 2020

GAN-OPC: Mask Optimization With Lithography-Guided Generative Adversarial Nets.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2020

A Unified Framework for Simultaneous Layout Decomposition and Mask Optimization.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2020

SRAF Insertion via Supervised Dictionary Learning.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2020

Using Machine Teaching to Investigate Human Assumptions when Teaching Reinforcement Learners.
CoRR, 2020

The Teaching Dimension of Q-learning.
CoRR, 2020

NHPP Testability Growth Model Considering Testability Growth Effort, Rectifying Delay, and Imperfect Correction.
IEEE Access, 2020

Task-agnostic Exploration in Reinforcement Learning.
Proceedings of the Advances in Neural Information Processing Systems 33: Annual Conference on Neural Information Processing Systems 2020, 2020

Understanding Graphs in EDA: From Shallow to Deep Learning.
Proceedings of the ISPD 2020: International Symposium on Physical Design, Taipei, Taiwan, March 29, 2020

Adaptive Reward-Poisoning Attacks against Reinforcement Learning.
Proceedings of the 37th International Conference on Machine Learning, 2020

Learn to Floorplan through Acquisition of Effective Local Search Heuristics.
Proceedings of the 38th IEEE International Conference on Computer Design, 2020

Neural-ILT: Migrating ILT to Neural Networks for Mask Printability and Complexity Co-optimization.
Proceedings of the IEEE/ACM International Conference On Computer Aided Design, 2020

DAMO: Deep Agile Mask Optimization for Full Chip Scale.
Proceedings of the IEEE/ACM International Conference On Computer Aided Design, 2020

Adaptive Layout Decomposition with Graph Embedding Neural Networks.
Proceedings of the 57th ACM/IEEE Design Automation Conference, 2020

2019
Layout Hotspot Detection With Feature Tensor Generation and Deep Biased Learning.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2019

Cross-Layer Optimization for High Speed Adders: A Pareto Driven Machine Learning Approach.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2019

Recent advances in convolutional neural network acceleration.
Neurocomputing, 2019

CAD Tool Design Space Exploration via Bayesian Optimization.
CoRR, 2019

Policy Poisoning in Batch Reinforcement Learning and Control.
CoRR, 2019

Are Adversarial Perturbations a Showstopper for ML-Based CAD? A Case Study on CNN-Based Lithographic Hotspot Detection.
CoRR, 2019

Policy Poisoning in Batch Reinforcement Learning and Control.
Proceedings of the Advances in Neural Information Processing Systems 32: Annual Conference on Neural Information Processing Systems 2019, 2019

Collaborative and Privacy-Preserving Machine Teaching via Consensus Optimization.
Proceedings of the International Joint Conference on Neural Networks, 2019

Data Poisoning against Differentially-Private Learners: Attacks and Defenses.
Proceedings of the Twenty-Eighth International Joint Conference on Artificial Intelligence, 2019

A Unified Approximation Framework for Compressing and Accelerating Deep Neural Networks.
Proceedings of the 31st IEEE International Conference on Tools with Artificial Intelligence, 2019

High Performance Graph Convolutional Networks with Applications in Testability Analysis.
Proceedings of the 56th Annual Design Automation Conference 2019, 2019

SRAF insertion via supervised dictionary learning.
Proceedings of the 24th Asia and South Pacific Design Automation Conference, 2019

OpenMPL: An Open Source Layout Decomposer: Invited Paper.
Proceedings of the 13th IEEE International Conference on ASIC, 2019

2018
Neighbourhood-preserving dimension reduction via localised multidimensional scaling.
Theor. Comput. Sci., 2018

SD-PUF: Spliced Digital Physical Unclonable Function.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2018

A Unified Approximation Framework for Deep Neural Networks.
CoRR, 2018

Adversarial Attacks on Stochastic Bandits.
Proceedings of the Advances in Neural Information Processing Systems 31: Annual Conference on Neural Information Processing Systems 2018, 2018

Training Set Camouflage.
Proceedings of the Decision and Game Theory for Security - 9th International Conference, 2018

Data Poisoning Attacks in Contextual Bandits.
Proceedings of the Decision and Game Theory for Security - 9th International Conference, 2018

GAN-OPC: mask optimization with lithography-guided generative adversarial nets.
Proceedings of the 55th Annual Design Automation Conference, 2018

Teacher Improves Learning by Selecting a Training Subset.
Proceedings of the International Conference on Artificial Intelligence and Statistics, 2018

2017
Methodologies for layout decomposition and mask optimization: A systematic review.
Proceedings of the 2017 IFIP/IEEE International Conference on Very Large Scale Integration, 2017

A learning bridge from architectural synthesis to physical design for exploring power efficient high-performance adders.
Proceedings of the 2017 IEEE/ACM International Symposium on Low Power Electronics and Design, 2017

Clock-aware ultrascale FPGA placement with machine learning routability prediction: (Invited paper).
Proceedings of the 2017 IEEE/ACM International Conference on Computer-Aided Design, 2017

A unified framework for simultaneous layout decomposition and mask optimization.
Proceedings of the 2017 IEEE/ACM International Conference on Computer-Aided Design, 2017

2016
Nonlinear Dimension Reduction by Local Multidimensional Scaling.
Proceedings of the Frontiers in Algorithmics, 10th International Workshop, 2016

2015
Bleeding detection in wireless capsule endoscopy based on MST clustering and SVM.
Proceedings of the 2015 IEEE Workshop on Signal Processing Systems, 2015


  Loading...