Ting-Chi Wang

Orcid: 0000-0002-3435-0418

According to our database1, Ting-Chi Wang authored at least 96 papers between 1990 and 2024.

Collaborative distances:

Timeline

Legend:

Book 
In proceedings 
Article 
PhD thesis 
Dataset
Other 

Links

On csauthors.net:

Bibliography

2024
Pioneering Contributions of Professor Martin D. F. Wong to Automatic Floorplan Design.
Proceedings of the 2024 International Symposium on Physical Design, 2024

SMT-Based Layout Synthesis Approaches for Quantum Circuits.
Proceedings of the 2024 International Symposium on Physical Design, 2024

2023
A Robust Routing Guide Generation Approach for Mixed-Size Designs.
Proceedings of the 5th ACM/IEEE Workshop on Machine Learning for CAD, 2023

Routability-aware Placement Guidance Generation for Mixed-size Designs.
Proceedings of the 24th International Symposium on Quality Electronic Design, 2023

Fast and Accurate Detection of Audio Adversarial Examples.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2023

Hybrid-Row-Height Design Placement Legalization Considering Cell Variants.
Proceedings of the Great Lakes Symposium on VLSI 2023, 2023

A Macro Legalization Approach Considering Minimum Channel Spacing and Buffer Area Reservation Constraints.
Proceedings of the Great Lakes Symposium on VLSI 2023, 2023

2022
Timing-Aware Layer Assignment for Advanced Process Technologies Considering via Pillars.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2022

Generation of Black-box Audio Adversarial Examples Based on Gradient Approximation and Autoencoders.
ACM J. Emerg. Technol. Comput. Syst., 2022

SPTA: A Scalable Parallel ILP-Based Track Assignment Algorithm with Two-Stage Partition.
Proceedings of the 30th IFIP/IEEE 30th International Conference on Very Large Scale Integration, 2022

LA-SVR: A High-Performance Layer Assignment Algorithm with Slew Violations Reduction.
Proceedings of the 30th IFIP/IEEE 30th International Conference on Very Large Scale Integration, 2022

On Predicting Solution Quality of Maze Routing Using Convolutional Neural Network.
Proceedings of the 23rd International Symposium on Quality Electronic Design, 2022

Generation of Mixed-Driving Multi-Bit Flip-Flops for Power Optimization.
Proceedings of the 41st IEEE/ACM International Conference on Computer-Aided Design, 2022

HybridGP: Global Placement for Hybrid-Row-Height Designs.
Proceedings of the 27th Asia and South Pacific Design Automation Conference, 2022

2021
Multiple-Layer Multiple-Patterning Aware Placement Refinement for Mixed-Cell-Height Designs.
Proceedings of the ISPD '21: International Symposium on Physical Design, 2021

2020
MiniDeviation: An Efficient Multi-Stage Bus-Aware Global Router.
Proceedings of the 2020 International Symposium on VLSI Design, Automation and Test, 2020

An Algorithm for Rule-based Layout Pattern Matching.
Proceedings of the IEEE/ACM International Conference On Computer Aided Design, 2020

Forensic Artifacts of Network Traffic on WeChat Calls.
Proceedings of the 22nd International Conference on Advanced Communication Technology, 2020

MiniDelay: Multi-Strategy Timing-Aware Layer Assignment for Advanced Technology Nodes.
Proceedings of the 2020 Design, Automation & Test in Europe Conference & Exhibition, 2020

Audio Adversarial Examples Generation with Recurrent Neural Networks<sup>*</sup>.
Proceedings of the 25th Asia and South Pacific Design Automation Conference, 2020

2019
RDTA: An Efficient Routability-Driven Track Assignment Algorithm.
Proceedings of the 2019 on Great Lakes Symposium on VLSI, 2019

A Mixed-Height Standard Cell Placement Flow for Digital Circuit Blocks<sup>*</sup>.
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2019

2018
Pattern Similarity Metrics for Layout Pattern Classification and Their Validity Analysis by Lithographic Responses.
Proceedings of the 2018 IEEE Computer Society Annual Symposium on VLSI, 2018

A practical detailed placement algorithm under multi-cell spacing constraints.
Proceedings of the International Conference on Computer-Aided Design, 2018

2017
A routing framework for technology migration with bump encroachment.
Integr., 2017

On refining standard cell placement for self-aligned double patterning.
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2017

Delay-driven layer assignment for advanced technology nodes.
Proceedings of the 22nd Asia and South Pacific Design Automation Conference, 2017

2016
Online slack-time binning for IO-registered die-to-die interconnects.
Proceedings of the 2016 IEEE International Test Conference, 2016

Negotiation-based track assignment considering local nets.
Proceedings of the 21st Asia and South Pacific Design Automation Conference, 2016

2015
Region-Based and Panel-Based Algorithms for Unroutable Placement Recognition.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2015

On Refining Row-Based Detailed Placement for Triple Patterning Lithography.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2015

A Cell-Based Row-Structure Layout Decomposer for Triple Patterning Lithography.
Proceedings of the 2015 Symposium on International Symposium on Physical Design, ISPD 2015, Monterey, CA, USA, March 29, 2015

2014
Efficient Multilayer Obstacle-Avoiding Rectilinear Steiner Tree Construction Based on Geometric Reduction.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2014

A study on unroutable placement recognition.
Proceedings of the International Symposium on Physical Design, 2014

A resource-level parallel approach for global-routing-based routing congestion estimation and a method to quantify estimation accuracy.
Proceedings of the IEEE/ACM International Conference on Computer-Aided Design, 2014

A study on the use of parallel wiring techniques for sub-20nm designs.
Proceedings of the Great Lakes Symposium on VLSI 2014, GLSVLSI '14, Houston, TX, USA - May 21, 2014

Metal layer planning for silicon interposers with consideration of routability and manufacturing cost.
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2014

Mask-cost-aware ECO routing<sup>∗</sup>.
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2014

Density-aware Detailed Placement with Instant Legalization.
Proceedings of the 51st Annual Design Automation Conference 2014, 2014

Floorplanning and Signal Assignment for Silicon Interposer-based 3D ICs.
Proceedings of the 51st Annual Design Automation Conference 2014, 2014

Redundant-via-aware ECO routing.
Proceedings of the 19th Asia and South Pacific Design Automation Conference, 2014

2013
Fast Fixed-Outline 3-D IC Floorplanning With TSV Co-Placement.
IEEE Trans. Very Large Scale Integr. Syst., 2013

An efficient hybrid synchronization technique for scalable multi-core instruction set simulations.
Proceedings of the 18th Asia and South Pacific Design Automation Conference, 2013

2012
Pad Assignment for Die-Stacking System-in-Package Design.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2012

2011
Through-Silicon Via Planning in 3-D Floorplanning.
IEEE Trans. Very Large Scale Integr. Syst., 2011

An enhanced global router with consideration of general layer directives.
Proceedings of the 2011 International Symposium on Physical Design, 2011

Simultaneous redundant via insertion and line end extension for yield optimization.
Proceedings of the 16th Asia South Pacific Design Automation Conference, 2011

2010
Optimal Double Via Insertion With On-Track Preference.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2010

Enhanced Double Via Insertion Using Wire Bending.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2010

NTHU-Route 2.0: A Robust Global Router for Modern Designs.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2010

Simultaneous antenna avoidance and via optimization in layer assignment of multi-layer global routing.
Proceedings of the 2010 International Conference on Computer-Aided Design, 2010

GLADE: A modern global router considering layer directives.
Proceedings of the 2010 International Conference on Computer-Aided Design, 2010

Temperature-constrained fixed-outline floorplanning for die-stacking system-in-package design.
Proceedings of the 20th ACM Great Lakes Symposium on VLSI 2009, 2010

2009
Robust layer assignment for via optimization in multi-layer global routing.
Proceedings of the 2009 International Symposium on Physical Design, 2009

Redundant via insertion with wire bending.
Proceedings of the 2009 International Symposium on Physical Design, 2009

2008
Slicing Floorplans.
Proceedings of the Handbook of Algorithms for Physical Design Automation., 2008

Congestion-Constrained Layer Assignment for Via Minimization in Global Routing.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2008

Fast and Optimal Redundant Via Insertion.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2008

Optimal post-routing redundant via insertion.
Proceedings of the 2008 International Symposium on Physical Design, 2008

NTHU-Route 2.0: a fast and stable global router.
Proceedings of the 2008 International Conference on Computer-Aided Design, 2008

A generalized network flow based algorithm for power-aware FPGA memory mapping.
Proceedings of the 45th Design Automation Conference, 2008

An MILP-based wire spreading algorithm for PSM-aware layout modification.
Proceedings of the 13th Asia South Pacific Design Automation Conference, 2008

A new global router for modern designs.
Proceedings of the 13th Asia South Pacific Design Automation Conference, 2008

2007
A Fast and Stable Algorithm for Obstacle-Avoiding Rectilinear Steiner Minimal Tree Construction.
Proceedings of the 12th Conference on Asia South Pacific Design Automation, 2007

Recent Research and Emerging Challenges in Physical Design for Manufacturability/Reliability.
Proceedings of the 12th Conference on Asia South Pacific Design Automation, 2007

Fast Buffered Delay Estimation Considering Process Variations.
Proceedings of the 12th Conference on Asia South Pacific Design Automation, 2007

2006
Post-routing redundant via insertion and line end extension with via density consideration.
Proceedings of the 2006 International Conference on Computer-Aided Design, 2006

Post-routing redundant via insertion for yield/reliability improvement.
Proceedings of the 2006 Conference on Asia South Pacific Design Automation: ASP-DAC 2006, 2006

2005
Simple yet effective algorithms for block and I/O buffer placement in flip-chip design.
Proceedings of the International Symposium on Circuits and Systems (ISCAS 2005), 2005

Maze routing with OPC consideration.
Proceedings of the 2005 Conference on Asia South Pacific Design Automation, 2005

Concurrent flip-flop and buffer insertion with adaptive blockage avoidance.
Proceedings of the 2005 Conference on Asia South Pacific Design Automation, 2005

2004
Multilevel circuit clustering for delay minimization.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2004

2003
Optimal circuit clustering for delay minimization under a more general delay model.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2003

Performance-driven multi-level clustering for combinational circuits.
Proceedings of the 2003 Asia and South Pacific Design Automation Conference, 2003

2002
Multi-Level Circuit Clustering for Delay Minimization.
Proceedings of the 11th IEEE/ACM International Workshop on Logic & Synthesis, 2002

Optimal circuit clustering with variable interconnect delay.
Proceedings of the 2002 International Symposium on Circuits and Systems, 2002

Module placement with pre-placed modules using the corner block list representation.
Proceedings of the 2002 International Symposium on Circuits and Systems, 2002

2001
Slicing floorplan design with boundary-constrained modules.
Proceedings of the 2001 International Symposium on Physical Design, 2001

Module placement with pre-placed modules using the B*-tree representation.
Proceedings of the 2001 International Symposium on Circuits and Systems, 2001

Power minization in LUT-based FPGA technology mapping.
Proceedings of ASP-DAC 2001, 2001

Module placement with boundary constraints using the sequence-pair representation.
Proceedings of ASP-DAC 2001, 2001

2000
On accelerating slicing floorplan design with boundary constraints.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2000

On the superiority of DO-RE-ME/MPG-D over stuck-at-based defective part level prediction.
Proceedings of the 9th Asian Test Symposium (ATS 2000), 4-6 December 2000, Taipei, Taiwan, 2000

Feasible two-way circuit partitioning with complex resource constraints.
Proceedings of ASP-DAC 2000, 2000

1999
Faster and Better Spectral Algorithms for Multi-Way Partitioning.
Proceedings of the 1999 Conference on Asia South Pacific Design Automation, 1999

1997
Routing for symmetric FPGAs and FPICs.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 1997

1995
Optimal net assignment.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 1995

Performance-driven channel pin assignment algorithms.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 1995

1993
Graph-based techniques to speed up floorplan area optimization.
Integr., 1993

A Graph Partitioning Problem for Multiple-chip Design.
Proceedings of the 1993 IEEE International Symposium on Circuits and Systems, 1993

On over-the-cell channel routing.
Proceedings of the European Design Automation Conference 1993, 1993

A note on the Complexity of Stockmeyer's floorplan Optimization Technique.
Proceedings of the Algorithmic Aspects of VLSI Layout, 1993

1992
Optimal floorplan area optimization.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 1992

A Graph Theoretic Technique to Speed up Floorplan Area Optimization.
Proceedings of the 29th Design Automation Conference, 1992

1991
Efficient shape curve construction in floorplan design.
Proceedings of the conference on European design automation, 1991

1990
An Optimal Algorithm for Floorplan Area Optimization.
Proceedings of the 27th ACM/IEEE Design Automation Conference. Orlando, 1990


  Loading...