Xiaoqing Xu

Orcid: 0000-0002-5314-7669

According to our database1, Xiaoqing Xu authored at least 54 papers between 2009 and 2024.

Collaborative distances:

Timeline

Legend:

Book 
In proceedings 
Article 
PhD thesis 
Dataset
Other 

Links

On csauthors.net:

Bibliography

2024
Multi-Temporal and Time-Lag Responses of Terrestrial Net Ecosystem Productivity to Extreme Climate from 1981 to 2019 in China.
Remote. Sens., January, 2024

Subgraph Extraction-based Feedback-guided Iterative Scheduling for HLS.
CoRR, 2024

2023
A Novel Method to Improve the Estimation of Ocean Tide Loading Displacements for K1 and K2 Components with GPS Observations.
Remote. Sens., 2023

Multi-Criteria Path Finding Using Multi-Queues Based Bidirectional Search for Multiple Target Nodes in Networks.
IEEE Access, 2023

Multi-Sensor Data Fusion and Artificial Intelligence Feature Analysis.
Proceedings of the 6th IEEE International Conference on Information Systems and Computer Aided Educatio, 2023

Improving Standard-Cell Design Flow using Factored Form Optimization.
Proceedings of the 60th ACM/IEEE Design Automation Conference, 2023

Application of Computer Artificial Intelligence Technology in 5G Wireless Transmission Fault Intelligent Diagnosis System.
Proceedings of the International Conference on Computers, 2023

2022
Preplacement Net Length and Timing Estimation by Customized Graph Neural Network.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2022

Distribution and Evolution of Supraglacial Lakes in Greenland during the 2016-2018 Melt Seasons.
Remote. Sens., 2022

The Effect of ESG News on the Chinese Stock Market.
J. Glob. Inf. Manag., 2022

RELAXNet: Residual efficient learning and attention expected fusion network for real-time semantic segmentation.
Neurocomputing, 2022

How to hide your voice: Noise-cancelling bird photography blind.
CoRR, 2022

2021
High-Performance Logic-on-Memory Monolithic 3-D IC Designs for Arm Cortex-A Processors.
IEEE Trans. Very Large Scale Integr. Syst., 2021

Learning an SAR Image Despeckling Model Via Weighted Sparse Representation.
IEEE J. Sel. Top. Appl. Earth Obs. Remote. Sens., 2021

APOLLO: An Automated Power Modeling Framework for Runtime Power Introspection in High-Volume Commercial Microprocessors.
Proceedings of the MICRO '21: 54th Annual IEEE/ACM International Symposium on Microarchitecture, 2021

Power Delivery and Thermal-Aware Arm-Based Multi-Tier 3D Architecture.
Proceedings of the IEEE/ACM International Symposium on Low Power Electronics and Design, 2021

Obstacle Judgment Model of In-vehicle Voice Interaction System Based on Eye-tracking.
Proceedings of the 24th IEEE International Conference on Computer Supported Cooperative Work in Design, 2021

3D-Split SRAM: Enabling Generational Gains in Advanced CMOS.
Proceedings of the IEEE Custom Integrated Circuits Conference, 2021

Net2: A Graph Attention Network Method Customized for Pre-Placement Net Length Estimation.
Proceedings of the ASPDAC '21: 26th Asia and South Pacific Design Automation Conference, 2021

2020
Fast IR Drop Estimation with Machine Learning.
CoRR, 2020

Thermal Analysis of a 3D Stacked High-Performance Commercial Microprocessor using Face-to-Face Wafer Bonding Technology.
CoRR, 2020

Stack up your chips: Betting on 3D integration to augment Moore's Law scaling.
CoRR, 2020

Fast IR Drop Estimation with Machine Learning : Invited Paper.
Proceedings of the IEEE/ACM International Conference On Computer Aided Design, 2020


Self-Aligned Double-Patterning Aware Legalization.
Proceedings of the 2020 Design, Automation & Test in Europe Conference & Exhibition, 2020

2019
A Practical Split Manufacturing Framework for Trojan Prevention via Simultaneous Wire Lifting and Cell Insertion.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2019

Enhanced 3D Implementation of an Arm<sup>®</sup> Cortex<sup>®</sup>-A Microprocessor.
Proceedings of the 2019 IEEE/ACM International Symposium on Low Power Electronics and Design, 2019

2018
Subresolution Assist Feature Generation With Supervised Data Learning.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2018

MrDP: Multiple-Row Detailed Placement of Heterogeneous-Sized Cells for Advanced Nodes.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2018

Standard Cell Library Design and Optimization Methodology for ASAP7 PDK.
CoRR, 2018

Moving Target Indication Using Deep Convolutional Neural Network.
IEEE Access, 2018

BRDF Effect on the Estimation of Canopy Chlorophyll Content in Paddy Rice from UAV-Based Hyperspectral Imagery.
Proceedings of the 2018 IEEE International Geoscience and Remote Sensing Symposium, 2018

Layout-dependent aging mitigation for critical path timing.
Proceedings of the 23rd Asia and South Pacific Design Automation Conference, 2018

2017
Redundant Local-Loop Insertion for Unidirectional Routing.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2017

Incremental Layer Assignment Driven by an External Signoff Timing Engine.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2017

Toward Unidirectional Routing Closure in Advanced Technology Nodes.
IPSJ Trans. Syst. LSI Des. Methodol., 2017

Principal agent model based design and outsourcing of information value.
Clust. Comput., 2017

Hierarchical and Analytical Placement Techniques for High-Performance Analog Circuits.
Proceedings of the 2017 ACM on International Symposium on Physical Design, 2017

DSAR: DSA aware Routing with Simultaneous DSA Guiding Pattern and Double Patterning Assignment.
Proceedings of the 2017 ACM on International Symposium on Physical Design, 2017

Placement mitigation techniques for power grid electromigration.
Proceedings of the 2017 IEEE/ACM International Symposium on Low Power Electronics and Design, 2017

DTCO for DSA-MP Hybrid Lithography with Double-BCP Materials in Sub-7nm Node.
Proceedings of the 2017 IEEE International Conference on Computer Design, 2017

Standard cell library design and optimization methodology for ASAP7 PDK: (Invited paper).
Proceedings of the 2017 IEEE/ACM International Conference on Computer-Aided Design, 2017

Concurrent Pin Access Optimization for Unidirectional Routing.
Proceedings of the 54th Annual Design Automation Conference, 2017

A Preliminary P-Curve Meta-Analysis of Learned Categorical Perception Research.
Proceedings of the 39th Annual Meeting of the Cognitive Science Society, 2017

2016
PARR: Pin-Access Planning and Regular Routing for Self-Aligned Double Patterning.
ACM Trans. Design Autom. Electr. Syst., 2016

Design for manufacturability and reliability in extreme-scaling VLSI.
Sci. China Inf. Sci., 2016

A Machine Learning Based Framework for Sub-Resolution Assist Feature Generation.
Proceedings of the 2016 on International Symposium on Physical Design, 2016

2015
Methodology for Standard Cell Compliance and Detailed Placement for Triple Patterning Lithography.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2015

Self-Aligned Double Patterning Aware Pin Access and Standard Cell Layout Co-Optimization.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2015

Pushing multiple patterning in sub-10nm: are we ready?
Proceedings of the 52nd Annual Design Automation Conference, 2015

2014
MOSAIC: Mask Optimizing Solution With Process Window Aware Inverse Correction.
Proceedings of the 51st Annual Design Automation Conference 2014, 2014

2013
A highly efficient rate control algorithm for JPEG2000 images.
IEEE Trans. Consumer Electron., 2013

Methodology for standard cell compliance and detailed placement for triple patterning lithography.
Proceedings of the IEEE/ACM International Conference on Computer-Aided Design, 2013

2009
A real-time detection of vehicle's speed based on vision principle and differential detection.
Proceedings of the 2009 IEEE/INFORMS International Conference on Service Operations, 2009


  Loading...