Natarajan Viswanathan

According to our database1, Natarajan Viswanathan authored at least 33 papers between 2005 and 2021.

Collaborative distances:
  • Dijkstra number2 of four.
  • Erdős number3 of four.

Timeline

Legend:

Book 
In proceedings 
Article 
PhD thesis 
Dataset
Other 

Links

On csauthors.net:

Bibliography

2021
Still Benchmarking After All These Years.
Proceedings of the ISPD '21: International Symposium on Physical Design, 2021

2018
MrDP: Multiple-Row Detailed Placement of Heterogeneous-Sized Cells for Advanced Nodes.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2018

2015
Overview of the 2015 CAD Contest at ICCAD.
Proceedings of the IEEE/ACM International Conference on Computer-Aided Design, 2015

ICCAD-2015 CAD Contest in Incremental Timing-driven Placement and Benchmark Suite.
Proceedings of the IEEE/ACM International Conference on Computer-Aided Design, 2015

2014
An Effective Floorplan-Guided Placement Algorithm for Large-Scale Mixed-Size Designs.
ACM Trans. Design Autom. Electr. Syst., 2014

Techniques for scalable and effective routability evaluation.
ACM Trans. Design Autom. Electr. Syst., 2014

ICCAD-2014 CAD contest in incremental timing-driven placement and benchmark suite.
Proceedings of the IEEE/ACM International Conference on Computer-Aided Design, 2014

The overview of 2014 CAD contest at ICCAD.
Proceedings of the IEEE/ACM International Conference on Computer-Aided Design, 2014

2013
Structure-Aware Placement Techniques for Designs With Datapaths.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2013

Clock power minimization using structured latch templates and decision tree induction.
Proceedings of the IEEE/ACM International Conference on Computer-Aided Design, 2013

ICCAD-2013 CAD contest in placement finishing and benchmark suite.
Proceedings of the IEEE/ACM International Conference on Computer-Aided Design, 2013

The overview of 2013 CAD contest at ICCAD.
Proceedings of the IEEE/ACM International Conference on Computer-Aided Design, 2013

Routing congestion estimation with real design constraints.
Proceedings of the 50th Annual Design Automation Conference 2013, 2013

2012
Keep it straight: teaching placement how to better handle designs with datapaths.
Proceedings of the International Symposium on Physical Design, 2012

MAPLE: multilevel adaptive placement for mixed-size designs.
Proceedings of the International Symposium on Physical Design, 2012

ICCAD-2012 CAD contest in design hierarchy aware routability-driven placement and benchmark suite.
Proceedings of the 2012 IEEE/ACM International Conference on Computer-Aided Design, 2012

Placement: Hot or Not?
Proceedings of the 2012 IEEE/ACM International Conference on Computer-Aided Design, 2012

GLARE: global and local wiring aware routability evaluation.
Proceedings of the 49th Annual Design Automation Conference 2012, 2012

The DAC 2012 routability-driven placement contest and benchmark suite.
Proceedings of the 49th Annual Design Automation Conference 2012, 2012

Guiding a physical design closure system to produce easier-to-route designs with more predictable timing.
Proceedings of the 49th Annual Design Automation Conference 2012, 2012

2011
Physical Synthesis with Clock-Network Optimization for Large Systems on Chips.
IEEE Micro, 2011

The ISPD-2011 routability-driven placement contest and benchmark suite.
Proceedings of the 2011 International Symposium on Physical Design, 2011

2010
ITOP: integrating timing optimization within placement.
Proceedings of the 2010 International Symposium on Physical Design, 2010

Design-hierarchy aware mixed-size placement for routability optimization.
Proceedings of the 2010 International Conference on Computer-Aided Design, 2010

2009
CRISP: Congestion reduction by iterated spreading during placement.
Proceedings of the 2009 International Conference on Computer-Aided Design, 2009

Handling complexities in modern large-scale mixed-size placement.
Proceedings of the 46th Design Automation Conference, 2009

2007
RQL: Global Placement via Relaxed Quadratic Spreading and Linearization.
Proceedings of the 44th Design Automation Conference, 2007

FastPlace 3.0: A Fast Multilevel Quadratic Placement Algorithm with Placement Congestion Control.
Proceedings of the 12th Conference on Asia South Pacific Design Automation, 2007

FastPlace: An Efficient Multilevel Force-Directed Placement Algorithm.
Proceedings of the Modern Circuit Placement, Best Practices and Results, 2007

2006
FastPlace 2.0: an efficient analytical placer for mixed-mode designs.
Proceedings of the 2006 Conference on Asia South Pacific Design Automation: ASP-DAC 2006, 2006

2005
FastPlace: efficient analytical placement using cell shifting, iterative local refinement, and a hybrid net model.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2005

FastPlace: an analytical placer for mixed-mode designs.
Proceedings of the 2005 International Symposium on Physical Design, 2005

An efficient and effective detailed placement algorithm.
Proceedings of the 2005 International Conference on Computer-Aided Design, 2005


  Loading...