Kai-Hui Chang

According to our database1, Kai-Hui Chang authored at least 37 papers between 2004 and 2019.

Collaborative distances:
  • Dijkstra number2 of four.
  • Erdős number3 of four.

Timeline

Legend:

Book 
In proceedings 
Article 
PhD thesis 
Dataset
Other 

Links

On csauthors.net:

Bibliography

2019
Path controllability analysis for high quality designs.
Proceedings of the 24th Asia and South Pacific Design Automation Conference, 2019

2016
Handling Nondeterminism in Logic Simulation so That Your Waveform Can Be Trusted Again.
IEEE Des. Test, 2016

2015
Scalable sequence-constrained retention register minimization in power gating design.
Proceedings of the 52nd Annual Design Automation Conference, 2015

2014
Automated methods for eliminating X bugs.
Proceedings of the Fifteenth International Symposium on Quality Electronic Design, 2014

2012
Parallel Logic Simulation: Myth or Reality?
Computer, 2012

Reducing test point overhead with don't-cares.
Proceedings of the 55th IEEE International Midwest Symposium on Circuits and Systems, 2012

Improving design verifiability by early RTL coverability analysis.
Proceedings of the Tenth ACM/IEEE International Conference on Formal Methods and Models for Codesign, 2012

RTL analysis and modifications for improving at-speed test.
Proceedings of the 2012 Design, Automation & Test in Europe Conference & Exhibition, 2012

Improving gate-level simulation accuracy when unknowns exist.
Proceedings of the 49th Annual Design Automation Conference 2012, 2012

2011
Constraint generation for software-based post-silicon bug masking with scalable resynthesis technique for constraint optimization.
Proceedings of the 12th International Symposium on Quality Electronic Design, 2011

Applying verification intention for design customization via property mining under constrained testbenches.
Proceedings of the IEEE 29th International Conference on Computer Design, 2011

Formal reset recovery slack calculation at the register transfer level.
Proceedings of the Design, Automation and Test in Europe, 2011

Facilitating unreachable code diagnosis and debugging.
Proceedings of the 16th Asia South Pacific Design Automation Conference, 2011

2010
Logic synthesis and circuit customization using extensive external don't-cares.
ACM Trans. Design Autom. Electr. Syst., 2010

Accurately Handle Don't-Care Conditions in High-Level Designs and Application for Reducing Initialized Registers.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2010

Finding reset nondeterminism in RTL designs - scalable X-analysis methodology and case study.
Proceedings of the Design, Automation and Test in Europe, 2010

Optimizing blocks in an SoC using symbolic code-statement reachability analysis.
Proceedings of the 15th Asia South Pacific Design Automation Conference, 2010

2009
Functional Design Errors in Digital Circuits - Diagnosis, Correction and Repair
Lecture Notes in Electrical Engineering 32, Springer, ISBN: 978-1-4020-9364-7, 2009

Incremental Verification with Error Detection, Diagnosis, and Visualization.
IEEE Des. Test Comput., 2009

Enhancing bug hunting using high-level symbolic simulation.
Proceedings of the 19th ACM Great Lakes Symposium on VLSI 2009, 2009

Customizing IP cores for system-on-chip designs using extensive external don't-cares.
Proceedings of the Design, Automation and Test in Europe, 2009

Handling don't-care conditions in high-level synthesis and application for reducing initialized registers.
Proceedings of the 46th Design Automation Conference, 2009

2008
Fixing Design Errors With Counterexamples and Resynthesis.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2008

SafeResynth: A new technique for physical synthesis.
Integr., 2008

Automating Postsilicon Debugging and Repair.
Computer, 2008

Reap what you sow: spare cells for post-silicon metal fix.
Proceedings of the 2008 International Symposium on Physical Design, 2008

2007
Functional design error diagnosis, correction and layout repair of digital circuits.
PhD thesis, 2007

Postplacement rewiring by exhaustive search for functional symmetries.
ACM Trans. Design Autom. Electr. Syst., 2007

Simulation-Based Bug Trace Minimization With BMC-Based Refinement.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2007

InVerS: An Incremental Verification System with Circuit Similarity Metrics and Error Visualization.
Proceedings of the 8th International Symposium on Quality of Electronic Design (ISQED 2007), 2007

Automating post-silicon debugging and repair.
Proceedings of the 2007 International Conference on Computer-Aided Design, 2007

Automatic error diagnosis and correction for RTL designs.
Proceedings of the IEEE International High Level Design Validation and Test Workshop, 2007

Node Mergers in the Presence of Don't Cares.
Proceedings of the 12th Conference on Asia South Pacific Design Automation, 2007

Safe Delay Optimization for Physical Synthesis.
Proceedings of the 12th Conference on Asia South Pacific Design Automation, 2007

2005
Post-placement rewiring and rebuffering by exhaustive search for functional symmetries.
Proceedings of the 2005 International Conference on Computer-Aided Design, 2005

Automatic Partitioner for Behavior Level Distributed Logic Simulation.
Proceedings of the Formal Techniques for Networked and Distributed Systems, 2005

2004
A Temporal Assertion Extension to Verilog.
Proceedings of the Automated Technology for Verification and Analysis: Second International Conference, 2004


  Loading...