Alan Mishchenko

According to our database1, Alan Mishchenko authored at least 144 papers between 1999 and 2023.

Collaborative distances:

Timeline

Legend:

Book 
In proceedings 
Article 
PhD thesis 
Dataset
Other 

Links

On csauthors.net:

Bibliography

2023
Quantized Neural Network Synthesis for Direct Logic Circuit Implementation.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., February, 2023

Scalable Sequential Optimization Under Observability Don't Cares.
CoRR, 2023

Verilog-to-PyG - A Framework for Graph Learning and Augmentation on RTL Designs.
CoRR, 2023

DAG-aware Synthesis Orchestration.
CoRR, 2023

Invited Paper: Verilog-to-PyG - A Framework for Graph Learning and Augmentation on RTL Designs.
Proceedings of the IEEE/ACM International Conference on Computer Aided Design, 2023

Narrowing the Synthesis Gap: Academic FPGA Synthesis is Catching Up With the Industry.
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2023

Improving Standard-Cell Design Flow using Factored Form Optimization.
Proceedings of the 60th ACM/IEEE Design Automation Conference, 2023

2022
A Simulation-Guided Paradigm for Logic Synthesis and Verification.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2022

Improving LUT-based optimization for ASICs.
Proceedings of the DAC '22: 59th ACM/IEEE Design Automation Conference, San Francisco, California, USA, July 10, 2022

Boolean Rewriting Strikes Back: Reconvergence-Driven Windowing Meets Resynthesis.
Proceedings of the 27th Asia and South Pacific Design Automation Conference, 2022

2021
Three-Input Gates for Logic Synthesis.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2021

A Circuit-Based SAT Solver for Logic Synthesis.
Proceedings of the IEEE/ACM International Conference On Computer Aided Design, 2021


Deep Integration of Circuit Simulator and SAT Solver.
Proceedings of the 58th ACM/IEEE Design Automation Conference, 2021

LUT-Based Optimization For ASIC Design Flow.
Proceedings of the 58th ACM/IEEE Design Automation Conference, 2021

2020
Parallel Combinational Equivalence Checking.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2020

SAT-Based Exact Synthesis: Encodings, Topology Families, and Parallelism.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2020

Fast Exact NPN Classification by Co-Designing Canonical Form and Its Computation Algorithm.
IEEE Trans. Computers, 2020

Simulation-Guided Boolean Resubstitution.
CoRR, 2020

Extending Boolean Methods for Scalable Logic Synthesis.
IEEE Access, 2020

SAT-Based Mapping of Data-Flow Graphs onto Coarse-Grained Reconfigurable Arrays.
Proceedings of the VLSI-SoC: Design Trends, 2020

Keynote III: Boolean Logic Networks for Machine Learning.
Proceedings of the 50th IEEE International Symposium on Multiple-Valued Logic, 2020

Circuit-Based Intrinsic Methods to Detect Overfitting.
Proceedings of the 37th International Conference on Machine Learning, 2020

Exact DAG-Aware Rewriting.
Proceedings of the 2020 Design, Automation & Test in Europe Conference & Exhibition, 2020

ALSRAC: Approximate Logic Synthesis by Resubstitution with Approximate Care Set.
Proceedings of the 57th ACM/IEEE Design Automation Conference, 2020

SAT-Sweeping Enhanced for Logic Synthesis.
Proceedings of the 57th ACM/IEEE Design Automation Conference, 2020

2019
Fast Adjustable NPN Classification Using Generalized Symmetries.
ACM Trans. Reconfigurable Technol. Syst., 2019

Effective Logic Synthesis for Threshold Logic Circuit Design.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2019

Reduction of neural network circuits by constant and nearly constant signal propagation.
Proceedings of the 32nd Symposium on Integrated Circuits and Systems Design, 2019

Logic Optimization of Majority-Inverter Graphs.
Proceedings of the 22nd Workshop Methods and Description Languages for Modelling and Verification of Circuits and Systems, 2019

Scaling-up ESOP Synthesis for Quantum Compilation.
Proceedings of the 2019 IEEE 49th International Symposium on Multiple-Valued Logic (ISMVL), 2019

Scalable Boolean Methods in a Modern Synthesis Flow.
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2019

On-the-fly and DAG-aware: Rewriting Boolean Networks with Exact Synthesis.
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2019

Scalable Generic Logic Synthesis: One Approach to Rule Them All.
Proceedings of the 56th Annual Design Automation Conference 2019, 2019

2018
Fast Algebraic Rewriting Based on And-Inverter Graphs.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2018

SAT-Based Fault Equivalence Checking in Functional Safety Verification.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2018

Pairs of majority-decomposing functions.
Inf. Process. Lett., 2018

Rewriting Environment for Arithmetic Circuit Verification.
Proceedings of the LPAR-22. 22nd International Conference on Logic for Programming, 2018

Unlocking fine-grain parallelism for AIG rewriting.
Proceedings of the International Conference on Computer-Aided Design, 2018

Fast Adjustable NPN Classification using Generalized Symmetries.
Proceedings of the 28th International Conference on Field Programmable Logic and Applications, 2018

Improving FPGA Performance with a S44 LUT Structure.
Proceedings of the 2018 ACM/SIGDA International Symposium on Field-Programmable Gate Arrays, 2018

Practical exact synthesis.
Proceedings of the 2018 Design, Automation & Test in Europe Conference & Exhibition, 2018

Improvements to boolean resynthesis.
Proceedings of the 2018 Design, Automation & Test in Europe Conference & Exhibition, 2018

Canonical computation without canonical representation.
Proceedings of the 55th Annual Design Automation Conference, 2018

SAT based exact synthesis using DAG topology families.
Proceedings of the 55th Annual Design Automation Conference, 2018

Efficient computation of ECO patch functions.
Proceedings of the 55th Annual Design Automation Conference, 2018

SAT-based area recovery in structural technology mapping.
Proceedings of the 23rd Asia and South Pacific Design Automation Conference, 2018

Progressive Generation of Canonical Irredundant Sums of Products Using a SAT Solver.
Proceedings of the Advanced Logic Synthesis, 2018

2017
Reduction of Quantum Cost by Making Temporary Changes to the Function.
IEICE Trans. Inf. Syst., 2017

SAT solver management strategies in IC3: an experimental approach.
Formal Methods Syst. Des., 2017

Enabling exact delay synthesis.
Proceedings of the 2017 IEEE/ACM International Conference on Computer-Aided Design, 2017

Property directed reachability with word-level abstraction.
Proceedings of the 2017 Formal Methods in Computer Aided Design, 2017

Busy man's synthesis: Combinational delay optimization with SAT.
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2017

Fast-extract with cube hashing.
Proceedings of the 22nd Asia and South Pacific Design Automation Conference, 2017

2016
m-Inductive Property of Sequential Circuits.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2016

Heuristic NPN Classification for Large Functions Using AIGs and LEXSAT.
Proceedings of the Theory and Applications of Satisfiability Testing - SAT 2016, 2016

2QBF: Challenges and Solutions.
Proceedings of the Theory and Applications of Satisfiability Testing - SAT 2016, 2016

Fast generation of lexicographic satisfiable assignments: enabling canonicity in SAT-based applications.
Proceedings of the 35th International Conference on Computer-Aided Design, 2016

Fast hierarchical NPN classification.
Proceedings of the 26th International Conference on Field Programmable Logic and Applications, 2016

Efficient uninterpreted function abstraction and refinement for word-level model checking.
Proceedings of the 2016 Formal Methods in Computer-Aided Design, 2016

Clauses Versus Gates in CEGAR-Based 2QBF Solving.
Proceedings of the Beyond NP, 2016

2015
Component-Based Design by Solving Language Equations.
Proc. IEEE, 2015

Automated Synthesis of Protocol Converters with BALM-II.
Proceedings of the Software Engineering and Formal Methods, 2015

Exploiting Circuit Duality to Speed up SAT.
Proceedings of the 2015 IEEE Computer Society Annual Symposium on VLSI, 2015

Incremental ATPG methods for multiple faults under multiple fault models.
Proceedings of the Sixteenth International Symposium on Quality Electronic Design, 2015

Threshold Logic Synthesis Based on Cut Pruning.
Proceedings of the IEEE/ACM International Conference on Computer-Aided Design, 2015

Improved carry chain mapping for the VTR flow.
Proceedings of the 2015 International Conference on Field Programmable Technology, 2015

Technology Mapping into General Programmable Cells.
Proceedings of the 2015 ACM/SIGDA International Symposium on Field-Programmable Gate Arrays, 2015

2014
Sequential Equivalence Checking for Clock-Gated Circuits.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2014

Logic synthesis and verification on fixed topology.
Proceedings of the 22nd International Conference on Very Large Scale Integration, 2014

Efficient SAT-based ATPG techniques for all multiple stuck-at faults.
Proceedings of the 2014 International Test Conference, 2014

Constrained interpolation for guided logic synthesis.
Proceedings of the IEEE/ACM International Conference on Computer-Aided Design, 2014

NINJA: boolean modelling and formal verification of tiered-rate chemical reaction networks (extended abstract).
Proceedings of the 5th ACM Conference on Bioinformatics, 2014

ABCD-NL: Approximating Continuous non-linear dynamical systems using purely Boolean models for analog/mixed-signal verification.
Proceedings of the 19th Asia and South Pacific Design Automation Conference, 2014

2013
Fast Boolean matching based on NPN classification.
Proceedings of the 2013 International Conference on Field-Programmable Technology, 2013

Trading-off Incrementality and Dynamic Restart of Multiple Solvers in IC3.
Proceedings of the Second International Workshop on Design and Implementation of Formal Tools and Systems, 2013

A Fast Reparameterization Procedure.
Proceedings of the Second International Workshop on Design and Implementation of Formal Tools and Systems, 2013

A semi-canonical form for sequential AIGs.
Proceedings of the Design, Automation and Test in Europe, 2013

GLA: gate-level abstraction revisited.
Proceedings of the Design, Automation and Test in Europe, 2013

Core minimization in SAT-based abstraction.
Proceedings of the Design, Automation and Test in Europe, 2013

2012
Lazy man's logic synthesis.
Proceedings of the 2012 IEEE/ACM International Conference on Computer-Aided Design, 2012

Mapping into LUT structures.
Proceedings of the 2012 Design, Automation & Test in Europe Conference & Exhibition, 2012

2011
Scalable don't-care-based logic optimization and resynthesis.
ACM Trans. Reconfigurable Technol. Syst., 2011

Delay optimization using SOP balancing.
Proceedings of the 2011 IEEE/ACM International Conference on Computer-Aided Design, 2011

Enhancing ABC for stabilization verification of SystemVerilog/VHDL models.
Proceedings of the First International Workshop on Design and Implementation of Formal Tools and Systems, 2011

Efficient implementation of property directed reachability.
Proceedings of the International Conference on Formal Methods in Computer-Aided Design, 2011

2010
Logic synthesis and circuit customization using extensive external don't-cares.
ACM Trans. Design Autom. Electr. Syst., 2010

To SAT or Not to SAT: Scalable Exploration of Functional Dependency.
IEEE Trans. Computers, 2010

Efficient FPGA Resynthesis Using Precomputed LUT Structures.
Proceedings of the International Conference on Field Programmable Logic and Applications, 2010

Global delay optimization using structural choices.
Proceedings of the ACM/SIGDA 18th International Symposium on Field Programmable Gate Arrays, 2010

Combinational techniques for sequential equivalence checking.
Proceedings of 10th International Conference on Formal Methods in Computer-Aided Design, 2010

A single-instance incremental SAT formulation of proof- and counterexample-based abstraction.
Proceedings of 10th International Conference on Formal Methods in Computer-Aided Design, 2010

Monolithically stackable hybrid FPGA.
Proceedings of the Design, Automation and Test in Europe, 2010

ABC: An Academic Industrial-Strength Verification Tool.
Proceedings of the Computer Aided Verification, 22nd International Conference, 2010

2009
WireMap: FPGA Technology Mapping for Improved Routability and Enhanced LUT Merging.
ACM Trans. Reconfigurable Technol. Syst., 2009

SmartOpt: an industrial strength framework for logic synthesis.
Proceedings of the ACM/SIGDA 17th International Symposium on Field Programmable Gate Arrays, 2009

Speculative reduction-based scalable redundancy identification.
Proceedings of the Design, Automation and Test in Europe, 2009

Sequential logic synthesis using symbolic bi-decomposition.
Proceedings of the Design, Automation and Test in Europe, 2009

2008
Scalable and scalably-verifiable sequential synthesis.
Proceedings of the 2008 International Conference on Computer-Aided Design, 2008

Boolean factoring and decomposition of logic networks.
Proceedings of the 2008 International Conference on Computer-Aided Design, 2008

WireMap: FPGA technology mapping for improved routability.
Proceedings of the ACM/SIGDA 16th International Symposium on Field Programmable Gate Arrays, 2008

Recording Synthesis History for Sequential Verification.
Proceedings of the Formal Methods in Computer-Aided Design, 2008

Invariant-Strengthened Elimination of Dependent State Elements.
Proceedings of the Formal Methods in Computer-Aided Design, 2008

Scalable min-register retiming under timing and initializability constraints.
Proceedings of the 45th Design Automation Conference, 2008

Merging nodes under sequential observability.
Proceedings of the 45th Design Automation Conference, 2008

2007
Improvements to Technology Mapping for LUT-Based FPGAs.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2007

Applying Logic Synthesis for Speeding Up SAT.
Proceedings of the Theory and Applications of Satisfiability Testing, 2007

Combinational and sequential mapping with priority cuts.
Proceedings of the 2007 International Conference on Computer-Aided Design, 2007

Scalable exploration of functional dependency by interpolation and incremental SAT solving.
Proceedings of the 2007 International Conference on Computer-Aided Design, 2007

Fast Minimum-Register Retiming via Binary Maximum-Flow.
Proceedings of the Formal Methods in Computer-Aided Design, 7th International Conference, 2007

Automated Extraction of Inductive Invariants to Aid Model Checking.
Proceedings of the Formal Methods in Computer-Aided Design, 7th International Conference, 2007

On Resolution Proofs for Combinational Equivalence.
Proceedings of the 44th Design Automation Conference, 2007

2006
Linear cofactor relationships in Boolean functions.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2006

Using simulation and satisfiability to compute flexibilities in Boolean networks.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2006

A theory of nondeterministic networks.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2006

Reducing Structural Bias in Technology Mapping.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2006

Improvements to combinational equivalence checking.
Proceedings of the 2006 International Conference on Computer-Aided Design, 2006

Factor cuts.
Proceedings of the 2006 International Conference on Computer-Aided Design, 2006

Symmetry detection for large Boolean functions using circuit representation, simulation, and satisfiability.
Proceedings of the 43rd Design Automation Conference, 2006

DAG-aware AIG rewriting a fresh look at combinational logic synthesis.
Proceedings of the 43rd Design Automation Conference, 2006

2005
Exact and Heuristic Minimization of the Average Path Length in Decision Diagrams.
J. Multiple Valued Log. Soft Comput., 2005

Synthesis for regularity using decision diagrams [logic IC synthesis and layout].
Proceedings of the International Symposium on Circuits and Systems (ISCAS 2005), 2005

Efficient Solution of Language Equations Using Partitioned Representations.
Proceedings of the 2005 Design, 2005

SAT-Based Complete Don't-Care Computation for Network Optimization.
Proceedings of the 2005 Design, 2005

Detecting support-reducing bound sets using two-cofactor symmetries.
Proceedings of the 2005 Conference on Asia South Pacific Design Automation, 2005

2004
On breakable cyclic definitions.
Proceedings of the 2004 International Conference on Computer-Aided Design, 2004

2003
Board-level multiterminal net assignment for the partial cross-bar architecture.
IEEE Trans. Very Large Scale Integr. Syst., 2003

Fast computation of symmetries in Boolean functions.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2003

A Theory of Non-Deterministic Networks.
Proceedings of the 2003 International Conference on Computer-Aided Design, 2003

Reducing Multi-Valued Algebraic Operations to Binary.
Proceedings of the 2003 Design, 2003

A new enhanced constructive decomposition and mapping algorithm.
Proceedings of the 40th Design Automation Conference, 2003

Large-scale SOP minimization using decomposition and functional properties.
Proceedings of the 40th Design Automation Conference, 2003

2002
Generalized Inclusive Forms - New Canonical Reed-Muller Forms Including Minimum ESOPs.
VLSI Design, 2002

Encoding of Boolean Functions and its Application to LUT Cascade Synthesis.
Proceedings of the 11th IEEE/ACM International Workshop on Logic & Synthesis, 2002

Logic Synthesis of Reversible Wave Cascades.
Proceedings of the 11th IEEE/ACM International Workshop on Logic & Synthesis, 2002

A Boolean Paradigm in Multi-Valued Logic Synthesis.
Proceedings of the 11th IEEE/ACM International Workshop on Logic & Synthesis, 2002

Optimization of Multi-Valued Multi-Level Networks.
Proceedings of the 32nd IEEE International Symposium on Multiple-Valued Logic (ISMVL 2002), 2002

Topologically constrained logic synthesis.
Proceedings of the 2002 IEEE/ACM International Conference on Computer-aided Design, 2002

Simplification of non-deterministic multi-valued networks.
Proceedings of the 2002 IEEE/ACM International Conference on Computer-aided Design, 2002

Board-level multiterminal net assignment.
Proceedings of the 12th ACM Great Lakes Symposium on VLSI 2002, 2002

2001
Regular Realization of Symmetric Functions Using Reversible Logic.
Proceedings of the Euromicro Symposium on Digital Systems Design 2001 (Euro-DSD 2001), 2001

An Algorithm for Bi-Decomposition of Logic Functions.
Proceedings of the 38th Design Automation Conference, 2001

1999
Evolvable Hardware or Learning Hardware? Induction of State Machines from Temporal Logic Constraints.
Proceedings of the 1st NASA / DoD Workshop on Evolvable Hardware (EH '99), 1999

Graph Coloring Algorithms for Fast Evaluation of Curtis Decompositions.
Proceedings of the 36th Conference on Design Automation, 1999


  Loading...