Lixin Zhang

Affiliations:
  • Chinese Academy of Sciences, Institute of Computing Technology, Beijing, China
  • IBM Austin Research Lab, TX, USA (former)
  • University of Utah, Salt Lake City, UT, USA (PhD 2001)


According to our database1, Lixin Zhang authored at least 81 papers between 1998 and 2022.

Collaborative distances:

Timeline

Legend:

Book 
In proceedings 
Article 
PhD thesis 
Dataset
Other 

Links

Online presence:

On csauthors.net:

Bibliography

2022
HyBP: Hybrid Isolation-Randomization Secure Branch Predictor.
Proceedings of the IEEE International Symposium on High-Performance Computer Architecture, 2022

2021
Exploiting Security Dependence for Conditional Speculation Against Spectre Attacks.
IEEE Trans. Computers, 2021

Line-Coalescing DRAM Cache.
Sustain. Comput. Informatics Syst., 2021

A Lightweight Isolation Mechanism for Secure Branch Predictors.
Proceedings of the 58th ACM/IEEE Design Automation Conference, 2021

2020
Enabling Rack-scale Confidential Computing using Heterogeneous Trusted Execution Environment.
Proceedings of the 2020 IEEE Symposium on Security and Privacy, 2020

2019
Understanding Processors Design Decisions for Data Analytics in Homogeneous Data Centers.
IEEE Trans. Big Data, 2019

RAGuard: An Efficient and User-Transparent Hardware Mechanism against ROP Attacks.
ACM Trans. Archit. Code Optim., 2019

Enabling Privacy-Preserving, Compute- and Data-Intensive Computing using Heterogeneous Trusted Execution Environment.
CoRR, 2019

XOS: An Application-Defined Operating System for Data Center Servers.
CoRR, 2019

Conditional Speculation: An Effective Approach to Safeguard Out-of-Order Execution Against Spectre Attacks.
Proceedings of the 25th IEEE International Symposium on High Performance Computer Architecture, 2019

2018
Venice: An Effective Resource Sharing Architecture for Data Center Servers.
ACM Trans. Comput. Syst., 2018

CVR: efficient vectorization of SpMV on x86 processors.
Proceedings of the 2018 International Symposium on Code Generation and Optimization, 2018

XOS: An Application-Defined Operating System for Datacenter Computing.
Proceedings of the IEEE International Conference on Big Data (IEEE BigData 2018), 2018

2017
Understanding Big Data Analytics Workloads on Modern Processors.
IEEE Trans. Parallel Distributed Syst., 2017

Efficient Regional Congestion Awareness (ERCA) for Load Balance with Aggregated Congestion Information.
Proceedings of the 25th Euromicro International Conference on Parallel, 2017

RAGuard: A Hardware Based Mechanism for Backward-Edge Control-Flow Integrity.
Proceedings of the Computing Frontiers Conference, 2017

2016
Co-DIMM: Inter-Socket Data Sharing via a Common DIMM Channel.
Proceedings of the Second International Symposium on Memory Systems, 2016

Adaptive rate control over mobile data networks with heuristic rate compensations.
Proceedings of the 24th IEEE/ACM International Symposium on Quality of Service, 2016

Isolating bandwidth guarantees from work conservation in the cloud.
Proceedings of the IEEE Symposium on Computers and Communication, 2016

Extending On-chip Interconnects for rack-level remote resource access.
Proceedings of the 34th IEEE International Conference on Computer Design, 2016

Characterizing OS Behaviors of Datacenter and Big Data Workloads.
Proceedings of the 18th IEEE International Conference on High Performance Computing and Communications; 14th IEEE International Conference on Smart City; 2nd IEEE International Conference on Data Science and Systems, 2016

Congestion-Aware Adaptive Routing with Quantitative Congestion Information.
Proceedings of the 18th IEEE International Conference on High Performance Computing and Communications; 14th IEEE International Conference on Smart City; 2nd IEEE International Conference on Data Science and Systems, 2016

Understanding Data Analytics Workloads on Intel(R) Xeon Phi(R).
Proceedings of the 18th IEEE International Conference on High Performance Computing and Communications; 14th IEEE International Conference on Smart City; 2nd IEEE International Conference on Data Science and Systems, 2016

Venice: Exploring server architectures for effective resource sharing.
Proceedings of the 2016 IEEE International Symposium on High Performance Computer Architecture, 2016

Intra-host Rate Control with Centralized Approach.
Proceedings of the 2016 IEEE International Conference on Cluster Computing, 2016

P-Socket: optimizing a communication library for a PCIe-based intra-rack interconnect.
Proceedings of the ACM International Conference on Computing Frontiers, CF'16, 2016

A novel approach for all-to-all routing in all-optical hypersquare torus network.
Proceedings of the ACM International Conference on Computing Frontiers, CF'16, 2016

Guarantee-aware cost effective virtual machine placement algorithm for the cloud.
Proceedings of the ACM International Conference on Computing Frontiers, CF'16, 2016

sAXI: A High-Efficient Hardware Inter-Node Link in ARM Server for Remote Memory Access.
Proceedings of the IEEE/ACM 16th International Symposium on Cluster, 2016

Auto-tuning Spark Big Data Workloads on POWER8: Prediction-Based Dynamic SMT Threading.
Proceedings of the 2016 International Conference on Parallel Architectures and Compilation, 2016

2015
OpenFlow网络数据流路径建立开销的量化分析 (Quantitative Analysis of Flow-setup Cost in OpenFlow Network).
计算机科学, 2015

Adapting Memory Hierarchies for Emerging Datacenter Interconnects.
J. Comput. Sci. Technol., 2015

Understanding Big Data Analytic Workloads on Modern Processors.
CoRR, 2015

Characterizing Data Analytics Workloads on Intel Xeon Phi.
Proceedings of the 2015 IEEE International Symposium on Workload Characterization, 2015

An Effective Correlation-Aware VM Placement Scheme for SLA Violation Reduction in Data Centers.
Proceedings of the Algorithms and Architectures for Parallel Processing, 2015

AMTCP: an adaptive multi-path transmission control protocol.
Proceedings of the 12th ACM International Conference on Computing Frontiers, 2015

Supporting Differentiated Services in Computers via Programmable Architecture for Resourcing-on-Demand (PARD).
Proceedings of the Twentieth International Conference on Architectural Support for Programming Languages and Operating Systems, 2015

2014
A High-Performance and Cost-Efficient Interconnection Network for High-Density Servers.
J. Comput. Sci. Technol., 2014

Moby: A mobile benchmark suite for architectural simulators.
Proceedings of the 2014 IEEE International Symposium on Performance Analysis of Systems and Software, 2014

Intelligent frame refresh for energy-aware display subsystems in mobile devices.
Proceedings of the International Symposium on Low Power Electronics and Design, 2014

Pipelined Compaction for the LSM-Tree.
Proceedings of the 2014 IEEE 28th International Parallel and Distributed Processing Symposium, 2014

DWC: dynamic write consolidation for phase change memory systems.
Proceedings of the 2014 International Conference on Supercomputing, 2014

Author retrospective for a NUCA substrate for flexible CMP cache sharing.
Proceedings of the ACM International Conference on Supercomputing 25th Anniversary Volume, 2014

Dandelion: A locally-high-performance and globally-high-scalability hierarchical data center network.
Proceedings of the 23rd International Conference on Computer Communication and Networks, 2014

2013
Understanding the implications of virtual machine management on processor microarchitecture design.
Proceedings of the 2012 IEEE International Symposium on Performance Analysis of Systems & Software, 2013

Characterizing data analysis workloads in data centers.
Proceedings of the IEEE International Symposium on Workload Characterization, 2013

The ARMv8 simulator.
Proceedings of the International Conference on Supercomputing, 2013

Rethinking Virtual Machine Interference in the Era of Cloud Applications.
Proceedings of the 10th IEEE International Conference on High Performance Computing and Communications & 2013 IEEE International Conference on Embedded and Ubiquitous Computing, 2013

DCNSim: a unified and cross-layer computer architecture simulation framework for data center network research.
Proceedings of the Computing Frontiers Conference, 2013

2012
Active memory controller.
J. Supercomput., 2012

CloudRank-D: benchmarking and ranking cloud computing systems for data processing applications.
Frontiers Comput. Sci., 2012

The Implications of Diverse Applications and Scalable Data Sets in Benchmarking Big Data Systems.
Proceedings of the Specifying Big Data Benchmarks, 2012

High Volume Throughput Computing: Identifying and Characterizing Throughput Oriented Workloads in Data Centers.
Proceedings of the 26th IEEE International Parallel and Distributed Processing Symposium Workshops & PhD Forum, 2012

Micro-architectural characterization of desktop cloud workloads.
Proceedings of the 2012 IEEE International Symposium on Workload Characterization, 2012

2011
PERCS System Architecture.
Proceedings of the Encyclopedia of Parallel Computing, 2011

Characterization of real workloads of web search engines.
Proceedings of the 2011 IEEE International Symposium on Workload Characterization, 2011

Power shifting in Thrifty Interconnection Network.
Proceedings of the 17th International Conference on High-Performance Computer Architecture (HPCA-17 2011), 2011

Efficient data streaming with on-chip accelerators: Opportunities and challenges.
Proceedings of the 17th International Conference on High-Performance Computer Architecture (HPCA-17 2011), 2011

2010
Design exploration of hybrid caches with disparate memory technologies.
ACM Trans. Archit. Code Optim., 2010

Enigma: architectural and operating system support for reducing the impact of address translation.
Proceedings of the 24th International Conference on Supercomputing, 2010

2009
Hybrid cache architecture with disparate memory technologies.
Proceedings of the 36th International Symposium on Computer Architecture (ISCA 2009), 2009

Thrifty interconnection network for HPC systems.
Proceedings of the 23rd international conference on Supercomputing, 2009

Lightweight predication support for out of order processors.
Proceedings of the 15th International Conference on High-Performance Computer Architecture (HPCA-15 2009), 2009

Power and performance of read-write aware Hybrid Caches with non-volatile memories.
Proceedings of the Design, Automation and Test in Europe, 2009

2007
A NUCA Substrate for Flexible CMP Cache Sharing.
IEEE Trans. Parallel Distributed Syst., 2007

Active memory operations.
Proceedings of the 21th Annual International Conference on Supercomputing, 2007

2006
Efficient address remapping in distributed shared-memory systems.
ACM Trans. Archit. Code Optim., 2006

Application of full-system simulation in exploratory system design and development.
IBM J. Res. Dev., 2006

2005
Fast synchronization on shared-memory multiprocessors: An architectural approach.
J. Parallel Distributed Comput., 2005

Adaptive Mechanisms and Policies for Managing Cache Hierarchies in Chip Multiprocessors.
Proceedings of the 32st International Symposium on Computer Architecture (ISCA 2005), 2005

2004
Mambo: a full system simulator for the PowerPC architecture.
SIGMETRICS Perform. Evaluation Rev., 2004

Scalable barrier synchronisation for large-scale shared-memory multiprocessors.
Int. J. High Perform. Comput. Netw., 2004

Highly Efficient Synchronization Based on Active Memory Operations.
Proceedings of the 18th International Parallel and Distributed Processing Symposium (IPDPS 2004), 2004

2002
Efficient Remapping Mechanisms for an Adaptable Memory System.
PhD thesis, 2002

2001
The Impulse Memory Controller.
IEEE Trans. Computers, 2001

Reevaluating Online Superpage Promotion with Hardware Support.
Proceedings of the Seventh International Symposium on High-Performance Computer Architecture (HPCA'01), 2001

2000
Online superpage promotion revisited (poster).
Proceedings of the 2000 ACM SIGMETRICS international conference on Measurement and modeling of computer systems, 2000

Memory System Support for Dynamic Cache Line Assembly.
Proceedings of the Intelligent Memory Systems, Second International Workshop, 2000

1999
Impulse: Building a Smarter Memory Controller.
Proceedings of the Fifth International Symposium on High-Performance Computer Architecture, 1999

Memory System Support for Image Processing.
Proceedings of the 1999 International Conference on Parallel Architectures and Compilation Techniques, 1999

1998


  Loading...