Yungang Bao

Orcid: 0000-0001-6565-5276

According to our database1, Yungang Bao authored at least 103 papers between 2008 and 2024.

Collaborative distances:
  • Dijkstra number2 of four.
  • Erdős number3 of four.

Timeline

Legend:

Book 
In proceedings 
Article 
PhD thesis 
Dataset
Other 

Links

On csauthors.net:

Bibliography

2024
Suppressing the Interference Within a Datacenter: Theorems, Metric and Strategy.
IEEE Trans. Parallel Distributed Syst., May, 2024

Inference without Interference: Disaggregate LLM Inference for Mixed Downstream Workloads.
CoRR, 2024

AutoSketch: Automatic Sketch-Oriented Compiler for Query-driven Network Telemetry.
Proceedings of the 21st USENIX Symposium on Networked Systems Design and Implementation, 2024

Aceso: Efficient Parallel DNN Training through Iterative Bottleneck Alleviation.
Proceedings of the Nineteenth European Conference on Computer Systems, 2024

2023
Functional Verification for Agile Processor Development: A Case for Workflow Integration.
J. Comput. Sci. Technol., July, 2023

Toward Developing High-Performance RISC-V Processors Using Agile Methodology.
IEEE Micro, 2023

iEDA: An Open-Source Intelligent Physical Implementation Toolkit and Library.
CoRR, 2023

A Data-Driven Framework for TCP to Achieve Flexible QoS Control in Mobile Data Networks.
Proceedings of the 31st IEEE/ACM International Symposium on Quality of Service, 2023

REMU: Enabling Cost-Effective Checkpointing and Deterministic Replay in FPGA-based Emulation.
Proceedings of the 41st IEEE International Conference on Computer Design, 2023

iPL-3D: A Novel Bilevel Programming Model for Die-to-Die Placement.
Proceedings of the IEEE/ACM International Conference on Computer Aided Design, 2023

Ah-Q: Quantifying and Handling the Interference within a Datacenter from a System Perspective.
Proceedings of the IEEE International Symposium on High-Performance Computer Architecture, 2023

HoPP: Hardware-Software Co-Designed Page Prefetching for Disaggregated Memory.
Proceedings of the IEEE International Symposium on High-Performance Computer Architecture, 2023

Skadi: Building a Distributed Runtime for Data Systems in Disaggregated Data Centers.
Proceedings of the 19th Workshop on Hot Topics in Operating Systems, 2023

ENCORE: Efficient Architecture Verification Framework with FPGA Acceleration.
Proceedings of the 2023 ACM/SIGDA International Symposium on Field Programmable Gate Arrays, 2023

MARB: Bridge the Semantic Gap between Operating System and Application Memory Access Behavior.
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2023

2022
NfvInsight: A Framework for Automatically Deploying and Benchmarking VNF Chains.
J. Comput. Sci. Technol., 2022

High fusion computers: The IoTs, edges, data centers, and humans-in-the-loop as a computer.
CoRR, 2022

INTERNEURON: A Middleware with Multi-Network Communication Reliability for Infrastructure Vehicle Cooperative Autonomous Driving.
CoRR, 2022


FPL Demo: SERVE: Agile Hardware Development Platform with Cloud IDE and Cloud FPGAs.
Proceedings of the 32nd International Conference on Field-Programmable Logic and Applications, 2022

Increasing Flexibility of Cloud FPGA Virtualization.
Proceedings of the 32nd International Conference on Field-Programmable Logic and Applications, 2022

Exploiting Architecture Advances for Sparse Solvers in Circuit Simulation.
Proceedings of the 2022 Design, Automation & Test in Europe Conference & Exhibition, 2022

2021
Oops! It's Too Late. Your Autonomous Driving System Needs a Faster Middleware.
IEEE Robotics Autom. Lett., 2021

PR-Sketch: Monitoring Per-key Aggregation of Streaming Data with Nearly Full Accuracy.
Proc. VLDB Endow., 2021

Novel denial-of-service attacks against cloud-based multi-robot systems.
Inf. Sci., 2021

Risk Analysis and Policy Enforcement of Function Interactions in Robot Apps.
CoRR, 2021

JITuNE: Just-In-Time Hyperparameter Tuning for Network Embedding Algorithms.
CoRR, 2021

Teaching undergraduates to build real computer systems.
Commun. ACM, 2021

HCDA: from computational thinking to a generalized thinking paradigm.
Commun. ACM, 2021

Teaching Computer System Courses with an Online Large-Scale Method.
Proceedings of the 2021 IEEE International Conference on Engineering, 2021

Analysis and Mitigation of Function Interaction Risks in Robot Apps.
Proceedings of the RAID '21: 24th International Symposium on Research in Attacks, 2021

Toward Nearly-Zero-Error Sketching via Compressive Sensing.
Proceedings of the 18th USENIX Symposium on Networked Systems Design and Implementation, 2021

EdUCAS: An In-house CI/CD Platform with Cloud FPGAs for Agilely Conducting Computer Systems Course Projects.
Proceedings of the ITiCSE '21: Proceedings of the 26th ACM Conference on Innovation and Technology in Computer Science Education V.2, Virtual Event, Germany, June 26, 2021

Towards Practical Cloud Offloading for Low-cost Ground Vehicle Workloads.
Proceedings of the 35th IEEE International Parallel and Distributed Processing Symposium, 2021

Omegaflow: a high-performance dependency-based architecture.
Proceedings of the ICS '21: 2021 International Conference on Supercomputing, 2021

EPA-Route: Routing Payment Channel Network with High Success Rate and Low Payment Fees.
Proceedings of the 41st IEEE International Conference on Distributed Computing Systems, 2021

SEER: A Time Prediction Model for CNNs from GPU Kernel's View.
Proceedings of the 30th International Conference on Parallel Architectures and Compilation Techniques, 2021

2020
Agile and Open-Source Hardware.
IEEE Micro, 2020

A Case for Adaptive Resource Management in Alibaba Datacenter Using Neural Networks.
J. Comput. Sci. Technol., 2020

Supporting Predictable Performance Guarantees for SMT Processors.
IEICE Trans. Fundam. Electron. Commun. Comput. Sci., 2020

Logless one-phase commit made possible for highly-available datastores.
Distributed Parallel Databases, 2020

OmniMon: Re-architecting Network Telemetry with Resource Efficiency and Full Accuracy.
Proceedings of the SIGCOMM '20: Proceedings of the 2020 Annual conference of the ACM Special Interest Group on Data Communication on the applications, 2020

Spatiotemporal Perception Aware Quantization Algorithm For Video Coding.
Proceedings of the IEEE International Conference on Multimedia and Expo, 2020

A Software Stack for Composable Cloud Robotics System.
Proceedings of the Algorithms and Architectures for Parallel Processing, 2020

Rhythm: component-distinguishable workload deployment in datacenters.
Proceedings of the EuroSys '20: Fifteenth EuroSys Conference 2020, 2020

HiLSM: an LSM-based key-value store for hybrid NVM-SSD storage systems.
Proceedings of the 17th ACM International Conference on Computing Frontiers, 2020

2019
BiloKey : A Scalable Bi-Index Locality-Aware In-Memory Key-Value Store.
IEEE Trans. Parallel Distributed Syst., 2019

Practices of backuping homomorphically encrypted databases.
Frontiers Comput. Sci., 2019

Gene-Patterns: Should Architecture be Customized for Each Application?
CoRR, 2019

Computer Organization and Design Course with FPGA Cloud.
Proceedings of the 50th ACM Technical Symposium on Computer Science Education, 2019

Who limits the resource efficiency of my datacenter: an analysis of Alibaba datacenter traces.
Proceedings of the International Symposium on Quality of Service, 2019

LearnedCache: A Locality-Aware Collaborative Data Caching by Learning Model.
Proceedings of the 2019 IEEE Intl Conf on Parallel & Distributed Processing with Applications, 2019

QoSMT: supporting precise performance control for simultaneous multithreading architecture.
Proceedings of the ACM International Conference on Supercomputing, 2019

Engaging Heterogeneous FPGAs in the Cloud.
Proceedings of the 2019 ACM/SIGDA International Symposium on Field-Programmable Gate Arrays, 2019

ZyCube: An In-House Mini-Cluster for Agilely Developing and Conducting Computer Systems Course Projects.
Proceedings of the ACM Conference on Global Computing Education, 2019

2018
The rise of high-throughput computing.
Frontiers Inf. Technol. Electron. Eng., 2018

Discipline Convergence in Networked Systems (Dagstuhl Seminar 18261).
Dagstuhl Reports, 2018

Avalon: Building an Operating System for Robotcenter.
CoRR, 2018

ZyForce: An FPGA-based Cloud Platform for Experimental Curriculum of Computer System in University of Chinese Academy of Sciences (Abstract Only).
Proceedings of the 49th ACM Technical Symposium on Computer Science Education, 2018

Sketchlearn: relieving user burdens in approximate measurement with automated statistical inference.
Proceedings of the 2018 Conference of the ACM Special Interest Group on Data Communication, 2018

Benchmarking SpMV Methods on Many-Core Platforms.
Proceedings of the Benchmarking, Measuring, and Optimizing, 2018

CryptZip: Squeezing out the Redundancy in Homomorphically Encrypted Backup Data.
Proceedings of the 9th Asia-Pacific Workshop on Systems, 2018

DearDRAM: Discard Weak Rows for Reducing DRAM's Refresh Overhead.
Proceedings of the Advanced Computer Architecture - 12th Conference, 2018

2017
Labeled von Neumann Architecture for Software-Defined Cloud.
J. Comput. Sci. Technol., 2017

ACIA, not ACID: Conditions, Properties and Challenges.
CoRR, 2017

Transaction Support over Redis: An Overview.
CoRR, 2017

CloudShelter: Protecting Virtual Machines' Memory Resource Availability in Clouds.
Proceedings of the 2017 IEEE International Conference on Computer Design, 2017

Dadu: Accelerating Inverse Kinematics for High-DOF Robots.
Proceedings of the 54th Annual Design Automation Conference, 2017

BestConfig: tapping the performance potential of systems via automatic configuration tuning.
Proceedings of the 2017 Symposium on Cloud Computing, SoCC 2017, Santa Clara, CA, USA, 2017

ACTS in Need: Automatic Configuration Tuning with Scalability Guarantees.
Proceedings of the 8th Asia-Pacific Workshop on Systems, Mumbai, India, September 2, 2017, 2017

2016
PARSEC3.0: A Multicore Benchmark Suite with Network Stacks and SPLASH-2X.
SIGARCH Comput. Archit. News, 2016

Understanding the Behavior of Spark Workloads from Linux Kernel Parameters Perspective.
Proceedings of the Posters and Demos Session of the 17th International Middleware Conference, 2016

2015
Supporting Differentiated Services in Computers via Networking Technologies.
Tiny Trans. Comput. Sci., 2015

Statistical Performance Comparisons of Computers.
IEEE Trans. Computers, 2015

Supporting Differentiated Services in Computers via Programmable Architecture for Resourcing-on-Demand (PARD).
Proceedings of the Twentieth International Conference on Architectural Support for Programming Languages and Operating Systems, 2015

2014
BPM/BPM+: Software-based dynamic memory partitioning mechanisms for mitigating DRAM bank-/channel-level interferences in multicore systems.
ACM Trans. Archit. Code Optim., 2014

HMTT: A hybrid hardware/software tracing system for bridging the DRAM access trace's semantic gap.
ACM Trans. Archit. Code Optim., 2014

MIMS: Towards a Message Interface Based Memory System.
J. Comput. Sci. Technol., 2014

CMD: classification-based memory deduplication through page access characteristics.
Proceedings of the 10th ACM SIGPLAN/SIGOPS International Conference on Virtual Execution Environments, 2014

QBLESS: A case for QoS-aware bufferless NoCs.
Proceedings of the IEEE 22nd International Symposium of Quality of Service, 2014

Going vertical in memory management: Handling multiplicity by multi-policy.
Proceedings of the ACM/IEEE 41st International Symposium on Computer Architecture, 2014

DTail: a flexible approach to DRAM refresh management.
Proceedings of the 2014 International Conference on Supercomputing, 2014

A Swap-based Cache Set Index Scheme to Leverage both Superpage and Page Coloring Optimizations.
Proceedings of the 51st Annual Design Automation Conference 2014, 2014

<i>D</i><sup>2</sup><i>P</i>: a distributed deadline propagation approach to tolerate long-tail latency in datacenters.
Proceedings of the Asia-Pacific Workshop on Systems, 2014

2013
MIMS: Towards a Message Interface based Memory System
CoRR, 2013

A Study of Leveraging Memory Level Parallelism for DRAM System on Multi-core/Many-Core Architecture.
Proceedings of the 12th IEEE International Conference on Trust, 2013

Scattered superpage: A case for bridging the gap between superpage and page coloring.
Proceedings of the 2013 IEEE 31st International Conference on Computer Design, 2013

Rethinking Virtual Machine Interference in the Era of Cloud Applications.
Proceedings of the 10th IEEE International Conference on High Performance Computing and Communications & 2013 IEEE International Conference on Embedded and Ubiquitous Computing, 2013

2012
Trace-driven simulation of memory system scheduling in multithread application.
Proceedings of the 2012 ACM SIGPLAN workshop on Memory Systems Performance and Correctness: held in conjunction with PLDI '12, 2012

A lightweight hybrid hardware/software approach for object-relative memory profiling.
Proceedings of the 2012 IEEE International Symposium on Performance Analysis of Systems & Software, 2012

Evaluation and Optimization of Breadth-First Search on NUMA Cluster.
Proceedings of the 2012 IEEE International Conference on Cluster Computing, 2012

A software memory partition approach for eliminating bank-level interference in multicore systems.
Proceedings of the International Conference on Parallel Architectures and Compilation Techniques, 2012

HaLock: hardware-assisted lock contention detection in multithreaded applications.
Proceedings of the International Conference on Parallel Architectures and Compilation Techniques, 2012

2011
What Hill-Marty model learn from and break through Amdahlʼs law?
Inf. Process. Lett., 2011

HMTT: A Hybrid Hardware/Software Tracing System for Bridging Memory Trace's Semantic Gap
CoRR, 2011

Fast implementation of DGEMM on Fermi GPU.
Proceedings of the Conference on High Performance Computing Networking, 2011

Poster: revisiting virtual channel memory for performance and fairness on multi-core architecture.
Proceedings of the 25th International Conference on Supercomputing, 2011, Tucson, AZ, USA, May 31, 2011

A fine-grained component-level power measurement method.
Proceedings of the 2011 International Green Computing Conference and Workshops, 2011

2010
DMA cache: Using on-chip storage to architecturally separate I/O data from CPU data for improving I/O performance.
Proceedings of the 16th International Conference on High-Performance Computer Architecture (HPCA-16 2010), 2010

2009
Extending Amdahl's law in the multicore era.
SIGMETRICS Perform. Evaluation Rev., 2009

A Scalability Analysis of the Symmetric Multiprocessing Architecture in Multi-Core System.
Proceedings of the International Conference on Networking, Architecture, and Storage, 2009

2008
HMTT: a platform independent full-system memory trace monitoring system.
Proceedings of the 2008 ACM SIGMETRICS International Conference on Measurement and Modeling of Computer Systems, 2008

A Network Memory Architecture Model and Performance Analysis.
Proceedings of The 2008 IEEE International Conference on Networking, 2008


  Loading...