Michael Ferdman

Orcid: 0000-0001-5808-1040

Affiliations:
  • Stony Brook University, NY, USA


According to our database1, Michael Ferdman authored at least 54 papers between 2006 and 2023.

Collaborative distances:

Timeline

Legend:

Book 
In proceedings 
Article 
PhD thesis 
Dataset
Other 

Links

Online presence:

On csauthors.net:

Bibliography

2023
The More Things Change, the More They Stay the Same: Integrity of Modern JavaScript.
Proceedings of the ACM Web Conference 2023, 2023

TAILCHECK: A Lightweight Heap Overflow Detection Mechanism with Page Protection and Tagged Pointers.
Proceedings of the 17th USENIX Symposium on Operating Systems Design and Implementation, 2023

Waverunner: An Elegant Approach to Hardware Acceleration of State Machine Replication.
Proceedings of the 20th USENIX Symposium on Networked Systems Design and Implementation, 2023

2022
Guest Editorial: IEEE TC Special Issue: Hardware Acceleration of Machine Learning.
IEEE Trans. Computers, 2022

An incrementally updatable and scalable system for large-scale sequence search using the Bentley-Saxe transformation.
Bioinform., 2022

Domains Do Change Their Spots: Quantifying Potential Abuse of Residual Trust.
Proceedings of the 43rd IEEE Symposium on Security and Privacy, 2022

AppBastion: Protection from Untrusted Apps and OSes on ARM.
Proceedings of the Computer Security - ESORICS 2022, 2022

2021
Practical Model Checking on FPGAs.
ACM Trans. Reconfigurable Technol. Syst., 2021

On the Distribution, Sparsity, and Inference-time Quantization of Attention Values in Transformers.
Proceedings of the Findings of the Association for Computational Linguistics: ACL/IJCNLP 2021, 2021

2020
Swarm model checking on the GPU.
Int. J. Softw. Tools Technol. Transf., 2020

An Efficient, Scalable, and Exact Representation of High-Dimensional Color Information Enabled Using de Bruijn Graph Search.
J. Comput. Biol., 2020

Flick: Fast and Lightweight ISA-Crossing Call for Heterogeneous-ISA Environments.
Proceedings of the 47th ACM/IEEE Annual International Symposium on Computer Architecture, 2020

A Scheduling Approach to Incremental Maintenance of Datalog Programs.
Proceedings of the 2020 IEEE International Parallel and Distributed Processing Symposium (IPDPS), 2020

FPGA-Accelerated Samplesort for Large Data Sets.
Proceedings of the FPGA '20: The 2020 ACM/SIGDA International Symposium on Field-Programmable Gate Arrays, 2020

2019
Argus: An End-to-End Framework for Accelerating CNNs on FPGAs.
IEEE Micro, 2019

Massively Parallel Server Processors.
IEEE Comput. Archit. Lett., 2019

x86-64 instruction usage among C/C++ applications.
Proceedings of the 12th ACM International Conference on Systems and Storage, 2019

An Efficient, Scalable and Exact Representation of High-Dimensional Color Information Enabled via de Bruijn Graph Search.
Proceedings of the Research in Computational Molecular Biology, 2019

Runtime-Programmable Pipelines for Model Checkers on FPGAs.
Proceedings of the 29th International Conference on Field Programmable Logic and Applications, 2019

Sorting Large Data Sets with FPGA-Accelerated Samplesort.
Proceedings of the 27th IEEE Annual International Symposium on Field-Programmable Custom Computing Machines, 2019

2018
Panning for gold.com: Understanding the Dynamics of Domain Dropcatching.
Proceedings of the 2018 World Wide Web Conference on World Wide Web, 2018

Mantis: A Fast, Small, and Exact Large-Scale Sequence-Search Index.
Proceedings of the Research in Computational Molecular Biology, 2018

Taming the Killer Microsecond.
Proceedings of the 51st Annual IEEE/ACM International Symposium on Microarchitecture, 2018

Impact of Device Performance on Mobile Internet QoE.
Proceedings of the Internet Measurement Conference 2018, 2018

Medusa: A Scalable Interconnect for Many-Port DNN Accelerators and Wide DRAM Controller Interfaces.
Proceedings of the 28th International Conference on Field Programmable Logic and Applications, 2018

FPGASwarm: High Throughput Model Checking on FPGAs.
Proceedings of the 28th International Conference on Field Programmable Logic and Applications, 2018

A Full-System VM-HDL Co-Simulation Framework for Servers with PCIe-Connected FPGAs.
Proceedings of the 2018 ACM/SIGDA International Symposium on Field-Programmable Gate Arrays, 2018

2017
Maximizing CNN Accelerator Efficiency Through Resource Partitioning.
Proceedings of the 44th Annual International Symposium on Computer Architecture, 2017

Storage-Efficient Batching for Minimizing Bandwidth of Fully-Connected Neural Network Layers (Abstract Only).
Proceedings of the 2017 ACM/SIGDA International Symposium on Field-Programmable Gate Arrays, 2017

Escher: A CNN Accelerator with Flexible Buffering to Minimize Off-Chip Transfer.
Proceedings of the 25th IEEE Annual International Symposium on Field-Programmable Custom Computing Machines, 2017

2016
Fused-layer CNN accelerators.
Proceedings of the 49th Annual IEEE/ACM International Symposium on Microarchitecture, 2016

Demystifying cloud benchmarking.
Proceedings of the 2016 IEEE International Symposium on Performance Analysis of Systems and Software, 2016

Overcoming resource underutilization in spatial CNN accelerators.
Proceedings of the 26th International Conference on Field Programmable Logic and Applications, 2016

2015
A Comprehensive Implementation and Evaluation of Direct Interrupt Delivery.
Proceedings of the 11th ACM SIGPLAN/SIGOPS International Conference on Virtual Execution Environments, 2015

Architectural Support for Dynamic Linking.
Proceedings of the Twentieth International Conference on Architectural Support for Programming Languages and Operating Systems, 2015

2014
A Case for Specialized Processors for Scale-Out Workloads.
IEEE Micro, 2014

DIMMer: A case for turning off DIMMs in clouds.
Proceedings of the ACM Symposium on Cloud Computing, 2014

2012
Quantifying the Mismatch between Emerging Scale-Out Applications and Modern Processors.
ACM Trans. Comput. Syst., 2012

Scale-out processors.
Proceedings of the 39th International Symposium on Computer Architecture (ISCA 2012), 2012

Clearing the clouds: a study of emerging scale-out workloads on modern hardware.
Proceedings of the 17th International Conference on Architectural Support for Programming Languages and Operating Systems, 2012

2011
Toward Dark Silicon in Servers.
IEEE Micro, 2011

Spatial Memory Streaming.
J. Instr. Level Parallelism, 2011

Proactive instruction fetch.
Proceedings of the 44rd Annual IEEE/ACM International Symposium on Microarchitecture, 2011

Cuckoo directory: A scalable directory for many-core systems.
Proceedings of the 17th International Conference on High-Performance Computer Architecture (HPCA-17 2011), 2011

2010
Making Address-Correlated Prefetching Practical.
IEEE Micro, 2010

Near-Optimal Cache Block Placement with Reactive Nonuniform Cache Architectures.
IEEE Micro, 2010

TurboTag: lookup filtering to reduce coherence directory power.
Proceedings of the 2010 International Symposium on Low Power Electronics and Design, 2010

2009
Reactive NUCA: near-optimal block placement and replication in distributed caches.
Proceedings of the 36th International Symposium on Computer Architecture (ISCA 2009), 2009

Practical off-chip meta-data for temporal memory streaming.
Proceedings of the 15th International Conference on High-Performance Computer Architecture (HPCA-15 2009), 2009

2008
Cache bursts: A new approach for eliminating dead blocks and increasing cache efficiency.
Proceedings of the 41st Annual IEEE/ACM International Symposium on Microarchitecture (MICRO-41 2008), 2008

Temporal instruction fetch streaming.
Proceedings of the 41st Annual IEEE/ACM International Symposium on Microarchitecture (MICRO-41 2008), 2008

Temporal streams in commercial server applications.
Proceedings of the 4th International Symposium on Workload Characterization (IISWC 2008), 2008

2007
Last-Touch Correlated Data Streaming.
Proceedings of the 2007 IEEE International Symposium on Performance Analysis of Systems and Software, 2007

2006
SimFlex: Statistical Sampling of Computer System Simulation.
IEEE Micro, 2006


  Loading...