Mohammad Nasim Imtiaz Khan

Orcid: 0000-0002-4531-5191

According to our database1, Mohammad Nasim Imtiaz Khan authored at least 33 papers between 2016 and 2022.

Collaborative distances:
  • Dijkstra number2 of five.
  • Erdős number3 of four.

Timeline

Legend:

Book 
In proceedings 
Article 
PhD thesis 
Dataset
Other 

Links

On csauthors.net:

Bibliography

2022
Analysis of Power-Oriented Fault Injection Attacks on Spiking Neural Networks.
Proceedings of the 2022 Design, Automation & Test in Europe Conference & Exhibition, 2022

2021
SecNVM: Power Side-Channel Elimination Using On-Chip Capacitors for Highly Secure Emerging NVM.
IEEE Trans. Very Large Scale Integr. Syst., 2021

SCARE: Side Channel Attack on In-Memory Computing for Reverse Engineering.
IEEE Trans. Very Large Scale Integr. Syst., 2021

Reconfigurable and Dense Analog Circuit Design Using Two Terminal Resistive Memory.
IEEE Trans. Emerg. Top. Comput., 2021

Comprehensive Study of Security and Privacy of Emerging Non-Volatile Memories.
CoRR, 2021

2020
Cache-Out: Leaking Cache Memory Using Hardware Trojan.
IEEE Trans. Very Large Scale Integr. Syst., 2020

HarTBleed: Using Hardware Trojans for Data Leakage Exploits.
IEEE Trans. Very Large Scale Integr. Syst., 2020

Test Methodologies and Test-Time Compression for Emerging Non-Volatile Memory.
IEEE Trans. Reliab., 2020

TrappeD: DRAM Trojan Designs for Information Leakage and Fault Injection Attacks.
CoRR, 2020

Recent Advances in Emerging Technology-based Security Primitives, Attacks and Mitigation.
Proceedings of the 63rd IEEE International Midwest Symposium on Circuits and Systems, 2020

Assuring Security and Reliability of Emerging Non-Volatile Memories.
Proceedings of the IEEE International Test Conference, 2020

Multi-Bit Read and Write Methodologies for Diode-MTJ Crossbar Array.
Proceedings of the 21st International Symposium on Quality Electronic Design, 2020

A Morphable Physically Unclonable Function and True Random Number Generator using a Commercial Magnetic Memory.
Proceedings of the 21st International Symposium on Quality Electronic Design, 2020

Power Side Channel Attack Analysis and Detection.
Proceedings of the IEEE/ACM International Conference On Computer Aided Design, 2020

2019
RF-Trojan: Leaking Kernel Data Using Register File Trojan.
CoRR, 2019

SHINE: A Novel SHA-3 Implementation Using ReRAM-based In-Memory Computing.
Proceedings of the 2019 IEEE/ACM International Symposium on Low Power Electronics and Design, 2019

Meeting the Conflicting Goals of Low-Power and Resiliency Using Emerging Memories : (Invited Paper).
Proceedings of the 25th IEEE International Symposium on On-Line Testing and Robust System Design, 2019

ENTT: A Family of Emerging NVM-based Trojan Triggers.
Proceedings of the IEEE International Symposium on Hardware Oriented Security and Trust, 2019

Hardware Trojans in Emerging Non-Volatile Memories.
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2019

2018
Novel Magnetic Burn-In for Retention and Magnetic Tolerance Testing of STTRAM.
IEEE Trans. Very Large Scale Integr. Syst., 2018

Test challenges and solutions for emerging non-volatile memories.
Proceedings of the 36th IEEE VLSI Test Symposium, 2018

Test of Supply Noise for Emerging Non-Volatile Memory.
Proceedings of the IEEE International Test Conference, 2018

Information Leakage Attacks on Emerging Non-Volatile Memory and Countermeasures.
Proceedings of the International Symposium on Low Power Electronics and Design, 2018

Fault injection attacks on emerging non-volatile memory and countermeasures.
Proceedings of the 7th International Workshop on Hardware and Architectural Support for Security and Privacy, 2018

Analysis of Row Hammer Attack on STTRAM.
Proceedings of the 36th IEEE International Conference on Computer Design, 2018

CTCG: Charge-trap based camouflaged gates for reverse engineering prevention.
Proceedings of the 2018 IEEE International Symposium on Hardware Oriented Security and Trust, 2018

Novel application of spintronics in computing, sensing, storage and cybersecurity.
Proceedings of the 2018 Design, Automation & Test in Europe Conference & Exhibition, 2018

2017
Replacing eFlash with STTRAM in IoTs: Security Challenges and Solutions.
J. Hardw. Syst. Secur., 2017

Side-Channel Attack on STTRAM Based Cache for Cryptographic Application.
Proceedings of the 2017 IEEE International Conference on Computer Design, 2017

Novel magnetic burn-in for retention testing of STTRAM.
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2017

2016
Multi-Bit Read and Write Methodologies for Diode-STTRAM Crossbar Array.
CoRR, 2016

Attack resilient architecture to replace embedded Flash with STTRAM in homogeneous IoTs.
CoRR, 2016

Security and privacy threats to on-chip non-volatile memories and countermeasures.
Proceedings of the 35th International Conference on Computer-Aided Design, 2016


  Loading...