Anupam Chattopadhyay

Orcid: 0000-0002-8818-6983

Affiliations:
  • Nanyang Technological University, Singapore
  • RWTH Aachen University, Germany


According to our database1, Anupam Chattopadhyay authored at least 300 papers between 2004 and 2024.

Collaborative distances:

Timeline

Legend:

Book 
In proceedings 
Article 
PhD thesis 
Dataset
Other 

Links

Online presence:

On csauthors.net:

Bibliography

2024
TravellingFL: Communication Efficient Peer-to-Peer Federated Learning.
IEEE Trans. Veh. Technol., April, 2024

Machine Learning based Blind Side-Channel Attacks on PQC-based KEMs - A Case Study of Kyber KEM.
IACR Cryptol. ePrint Arch., 2024

EFFLUX-F2: A High Performance Hardware Security Evaluation Board.
IACR Cryptol. ePrint Arch., 2024

Boosting the Efficiency of Quantum Divider through Effective Design Space Exploration.
CoRR, 2024

Privacy and Security Implications of Cloud-Based AI Services : A Survey.
CoRR, 2024

Authenticating Edge Neural Network through Hardware Security Modules and Quantum-Safe Key Management.
Proceedings of the 37th International Conference on VLSI Design and 23rd International Conference on Embedded Systems, 2024

Harnessing Entropy: RRAM Crossbar-based Unified PUF and RNG.
Proceedings of the 37th International Conference on VLSI Design and 23rd International Conference on Embedded Systems, 2024

2023
QuDiet: A classical simulation platform for qubit-qudit hybrid quantum systems.
IET Quantum Commun., December, 2023

Improved Linear Decomposition of Majority and Threshold Boolean Functions.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., November, 2023

Hardware Trojan Detection using Transition Probability with Minimal Test Vectors.
ACM Trans. Embed. Comput. Syst., 2023

Fiddling the Twiddle Constants - Fault Injection Analysis of the Number Theoretic Transform.
IACR Trans. Cryptogr. Hardw. Embed. Syst., 2023

Pushing the Limits of Generic Side-Channel Attacks on LWE-based KEMs - Parallel PC Oracle Attacks on Kyber KEM and Beyond.
IACR Trans. Cryptogr. Hardw. Embed. Syst., 2023

Lightweight Hardware Accelerator for Post-Quantum Digital Signature CRYSTALS-Dilithium.
IEEE Trans. Circuits Syst. I Regul. Pap., 2023

Finding Desirable Substitution Box with SASQUATCH.
IACR Cryptol. ePrint Arch., 2023

Quantum Implementation of ASCON Linear Layer.
IACR Cryptol. ePrint Arch., 2023

AI Attacks AI: Recovering Neural Network architecture from NVDLA using AI-assisted Side Channel Attack.
IACR Cryptol. ePrint Arch., 2023

DORCIS: Depth Optimized Quantum Implementation of Substitution Boxes.
IACR Cryptol. ePrint Arch., 2023

Efficient Hardware Implementation for Maiorana-McFarland type Functions.
IACR Cryptol. ePrint Arch., 2023

BAKSHEESH: Similar Yet Different From GIFT.
IACR Cryptol. ePrint Arch., 2023

A Higher Radix Architecture for Quantum Carry-lookahead Adder.
CoRR, 2023

Reducing Depth of Quantum Adder using Ling Structure.
Proceedings of the 31st IFIP/IEEE International Conference on Very Large Scale Integration, 2023

PR-PUF: A Reconfigurable Strong RRAM PUF.
Proceedings of the 31st IFIP/IEEE International Conference on Very Large Scale Integration, 2023

Optimized Quantum Circuit Implementation of Payoff Function.
Proceedings of the 31st IFIP/IEEE International Conference on Very Large Scale Integration, 2023

A RISC-V SoC with Hardware Trojans: Case Study on Trojan-ing the On-Chip Protocol Conversion.
Proceedings of the 31st IFIP/IEEE International Conference on Very Large Scale Integration, 2023

Integrated Architecture for Neural Networks and Security Primitives using RRAM Crossbar.
Proceedings of the 21st IEEE Interregional NEWCAS Conference, 2023

Invited Paper: Machine Learning Based Blind Side-Channel Attacks on PQC-Based KEMs - A Case Study of Kyber KEM.
Proceedings of the IEEE/ACM International Conference on Computer Aided Design, 2023

CRYSTALS-Dilithium on RISC-V Processor: Lightweight Secure Boot Using Post-Quantum Digital Signature.
Proceedings of the IEEE/ACM International Conference on Computer Aided Design, 2023

Hardware Security Primitives Using Passive RRAM Crossbar Array: Novel TRNG and PUF Designs.
Proceedings of the 28th Asia and South Pacific Design Automation Conference, 2023

2022
On Exploiting Message Leakage in (Few) NIST PQC Candidates for Practical Message Recovery Attacks.
IEEE Trans. Inf. Forensics Secur., 2022

Will You Cross the Threshold for Me? Generic Side-Channel Assisted Chosen-Ciphertext Attacks on NTRU-based KEMs.
IACR Trans. Cryptogr. Hardw. Embed. Syst., 2022

The Bitlet Model: A Parameterized Analytical Model to Compare PIM and CPU Systems.
ACM J. Emerg. Technol. Comput. Syst., 2022

Security and Quantum Computing: An Overview.
IACR Cryptol. ePrint Arch., 2022

Side-channel and Fault-injection attacks over Lattice-based Post-quantum Schemes (Kyber, Dilithium): Survey and New Results.
IACR Cryptol. ePrint Arch., 2022

Backdooring Post-Quantum Cryptography: Kleptographic Attacks on Lattice-based KEMs.
IACR Cryptol. ePrint Arch., 2022

Quantum Analysis of AES.
IACR Cryptol. ePrint Arch., 2022

Improved Quantum Analysis of SPECK and LowMC (Full Version).
IACR Cryptol. ePrint Arch., 2022

Quantum Implementation and Analysis of DEFAULT.
IACR Cryptol. ePrint Arch., 2022

Lattice-based Key-sharing Schemes: A Survey.
ACM Comput. Surv., 2022

Optimal Codeword Construction for DNA-based Finite Automata.
CoRR, 2022

PA-PUF: A Novel Priority Arbiter PUF.
Proceedings of the 30th IFIP/IEEE 30th International Conference on Very Large Scale Integration, 2022

Robust Perception for Autonomous Vehicles using Dimensionality Reduction.
Proceedings of the IEEE International Conference on Trust, 2022

How Many Cameras Do You Need? Adversarial Attacks and Countermeasures for Robust Perception in Autonomous Vehicles.
Proceedings of the Security, Privacy, and Applied Cryptography Engineering, 2022

Improved Quantum Analysis of SPECK and LowMC.
Proceedings of the Progress in Cryptology - INDOCRYPT 2022, 2022

ROFL: RObust privacy preserving Federated Learning.
Proceedings of the 42nd IEEE International Conference on Distributed Computing Systems, 2022

TextBack: Watermarking Text Classifiers using Backdooring.
Proceedings of the 25th Euromicro Conference on Digital System Design, 2022

Efficient Loop Abort Fault Attacks on Supersingular Isogeny based Key Exchange (SIKE).
Proceedings of the IEEE International Symposium on Defect and Fault Tolerance in VLSI and Nanotechnology Systems, 2022

2021
PQC Acceleration Using GPUs: FrodoKEM, NewHope, and Kyber.
IEEE Trans. Parallel Distributed Syst., 2021

Autonomous Vehicle: Security by Design.
IEEE Trans. Intell. Transp. Syst., 2021

MemEnc: A Lightweight, Low-Power, and Transparent Memory Encryption Engine for IoT.
IEEE Internet Things J., 2021

Generic Side-Channel Assisted Chosen-Ciphertext Attacks on Streamlined NTRU Prime.
IACR Cryptol. ePrint Arch., 2021

A Configurable Crystals-Kyber Hardware Implementation with Side-Channel Protection.
IACR Cryptol. ePrint Arch., 2021

Three Input Exclusive-OR Gate Support For Boyar-Peralta's Algorithm (Extended Version).
IACR Cryptol. ePrint Arch., 2021

A survey on adversarial attacks and defences.
CAAI Trans. Intell. Technol., 2021

Differential fault location identification by machine learning.
CAAI Trans. Intell. Technol., 2021

In-memory realization of SHA-2 using ReVAMP architecture.
Proceedings of the 34th International Conference on VLSI Design and 20th International Conference on Embedded Systems, 2021

Practical Side-Channel and Fault Attacks on Lattice-Based Cryptography.
Proceedings of the 29th IFIP/IEEE International Conference on Very Large Scale Integration, 2021

In Quest for Fast and Secure SoC.
Proceedings of the 29th IFIP/IEEE International Conference on Very Large Scale Integration, 2021

On Threat of Hardware Trojan to Post-Quantum Lattice-Based Schemes: A Key Recovery Attack on SABER and Beyond.
Proceedings of the Security, Privacy, and Applied Cryptography Engineering, 2021

Robustness Against Adversarial Attacks Using Dimensionality.
Proceedings of the Security, Privacy, and Applied Cryptography Engineering, 2021

Three Input Exclusive-OR Gate Support for Boyar-Peralta's Algorithm.
Proceedings of the Progress in Cryptology - INDOCRYPT 2021, 2021

ROWBACK: RObust Watermarking for neural networks using BACKdoors.
Proceedings of the 20th IEEE International Conference on Machine Learning and Applications, 2021

Perspectives on Emerging Computation-in-Memory Paradigms.
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2021

Feeding Three Birds With One Scone: A Generic Duplication Based Countermeasure To Fault Attacks.
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2021

On the Cost of ASIC Hardware Crackers: A SHA-1 Case Study.
Proceedings of the Topics in Cryptology - CT-RSA 2021, 2021

2020
Threshold Implementations of <tt>GIFT</tt>: A Trade-Off Analysis.
IEEE Trans. Inf. Forensics Secur., 2020

Generic Side-channel attacks on CCA-secure lattice-based PKE and KEMs.
IACR Trans. Cryptogr. Hardw. Embed. Syst., 2020

Crossbar-Constrained Technology Mapping for ReRAM Based In-Memory Computing.
IEEE Trans. Computers, 2020

A Coding Theoretic Approach towards Symmetrization in Reversible Circuit Synthesis.
J. Multiple Valued Log. Soft Comput., 2020

Towards Designing a Secure RISC-V System-on-Chip: ITUS.
J. Hardw. Syst. Secur., 2020

On Configurable SCA Countermeasures Against Single Trace Attacks for the NTT - A Performance Evaluation Study over Kyber and Dilithium on the ARM Cortex-M4.
IACR Cryptol. ePrint Arch., 2020

On Exploiting Message Leakage in (few) NIST PQC Candidates for Practical Message Recovery and Key Recovery Attacks.
IACR Cryptol. ePrint Arch., 2020

Drop by Drop you break the rock - Exploiting generic vulnerabilities in Lattice-based PKE/KEMs using EM-based Physical Attacks.
IACR Cryptol. ePrint Arch., 2020

Preliminary Hardware Benchmarking of a Group of Round 2 NIST Lightweight AEAD Candidates.
IACR Cryptol. ePrint Arch., 2020

Fault Location Identification By Machine Learning.
IACR Cryptol. ePrint Arch., 2020

A Novel Duplication Based Countermeasure To Statistical Ineffective Fault Analysis.
IACR Cryptol. ePrint Arch., 2020

Feeding Three Birds With One Scone: A Generic Duplication Based Countermeasure To Fault Attacks (Extended Version).
IACR Cryptol. ePrint Arch., 2020

Spatially Correlated Patterns in Adversarial Images.
CoRR, 2020

RAPPER: Ransomware Prevention via Performance Counters.
CoRR, 2020

A Brain-Computer Interface Framework Based on Compressive Sensing and Deep Learning.
IEEE Consumer Electron. Mag., 2020

Identification and utilization of copy number information for correcting Hi-C contact map of cancer cell lines.
BMC Bioinform., 2020

Hierarchical discovery of large-scale and focal copy number alterations in low-coverage cancer genomes.
BMC Bioinform., 2020

Efficient Quantum Circuits for Square-Root and Inverse Square-Root.
Proceedings of the 33rd International Conference on VLSI Design and 19th International Conference on Embedded Systems, 2020

Re-markable: Stealing Watermarked Neural Networks Through Synthesis.
Proceedings of the Security, Privacy, and Applied Cryptography Engineering, 2020

Secure Your SoC: Building System-an-Chip Designs for Security.
Proceedings of the 33rd IEEE International System-on-Chip Conference, 2020

FlexWatts: A Power- and Workload-Aware Hybrid Power Delivery Network for Energy-Efficient Microprocessors.
Proceedings of the 53rd Annual IEEE/ACM International Symposium on Microarchitecture, 2020

Cyber Security Protocol for Secure Traffic Monitoring Systems using PUF-based Key Management.
Proceedings of the IEEE International Symposium on Smart Electronic Systems, 2020

Authentication Protocol for Secure Automotive Systems: Benchmarking Post-Quantum Cryptography.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2020

Enabling Efficient Mapping of XMG-Synthesized Networks to Spintronic Hardware.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2020

Deploy-able Privacy Preserving Collaborative ML.
Proceedings of the 40th IEEE International Conference on Distributed Computing Systems, 2020

CONTRA: Area-Constrained Technology Mapping Framework For Memristive Memory Processing Unit.
Proceedings of the IEEE/ACM International Conference On Computer Aided Design, 2020

Noise Resilient Compilation Policies for Quantum Approximate Optimization Algorithm.
Proceedings of the IEEE/ACM International Conference On Computer Aided Design, 2020

Post-Quantum Secure Boot.
Proceedings of the 2020 Design, Automation & Test in Europe Conference & Exhibition, 2020

Towards Secure Composition of Integrated Circuits and Electronic Systems: On the Role of EDA.
Proceedings of the 2020 Design, Automation & Test in Europe Conference & Exhibition, 2020

2019
Guest Editorial Special Section on Security Challenges and Solutions With Emerging Computing Technologies.
IEEE Trans. Very Large Scale Integr. Syst., 2019

Security is an architectural design constraint.
Microprocess. Microsystems, 2019

Design and synthesis of improved reversible circuits using AIG- and MIG-based graph data structures.
IET Comput. Digit. Tech., 2019

Generic Side-channel attacks on CCA-secure lattice-based PKE and KEM schemes.
IACR Cryptol. ePrint Arch., 2019

Exploiting Determinism in Lattice-based Signatures - Practical Fault Attacks on pqm4 Implementations of NIST candidates.
IACR Cryptol. ePrint Arch., 2019

Improving Speed of Dilithium's Signing Procedure.
IACR Cryptol. ePrint Arch., 2019

On Misuse of Nonce-Misuse Resistance: Adapting Differential Fault Attacks on (few) CAESAR Winners.
IACR Cryptol. ePrint Arch., 2019

SPQCop: Side-channel protected Post-Quantum Cryptoprocessor.
IACR Cryptol. ePrint Arch., 2019

Mind the Portability: A Warriors Guide through Realistic Profiled Side-channel Analysis.
IACR Cryptol. ePrint Arch., 2019

The Bitlet Model: Defining a Litmus Test for the Bitwise Processing-in-Memory Paradigm.
CoRR, 2019

New techniques for fault-tolerant decomposition of Multi-Controlled Toffoli gate.
CoRR, 2019

An iterative method for linear decomposition of index generating functions.
Cryptogr. Commun., 2019

La Petite Fee Cosmo.
Proceedings of the 17th International Conference on Virtual-Reality Continuum and its Applications in Industry, 2019

SoCINT: Resilient System-on-Chip via Dynamic Intrusion Detection.
Proceedings of the 32nd International Conference on VLSI Design and 18th International Conference on Embedded Systems, 2019

Applying Modified Householder Transform to Kalman Filter.
Proceedings of the 32nd International Conference on VLSI Design and 18th International Conference on Embedded Systems, 2019

A Systematic Approach for Acceleration of Matrix-Vector Operations in CGRA through Algorithm-Architecture Co-Design.
Proceedings of the 32nd International Conference on VLSI Design and 18th International Conference on Embedded Systems, 2019

Criticality Aware Soft Error Mitigation in the Configuration Memory of SRAM Based FPGA.
Proceedings of the 32nd International Conference on VLSI Design and 18th International Conference on Embedded Systems, 2019

Optimizing Quantum Circuits for Modular Exponentiation.
Proceedings of the 32nd International Conference on VLSI Design and 18th International Conference on Embedded Systems, 2019

ITUS: A Secure RISC-V System-on-Chip.
Proceedings of the 32nd IEEE International System-on-Chip Conference, 2019

LIGHTER-R: Optimized Reversible Circuit Implementation For SBoxes.
Proceedings of the 32nd IEEE International System-on-Chip Conference, 2019

Accelerating Binary-Matrix Multiplication on FPGA.
Proceedings of the 32nd IEEE International System-on-Chip Conference, 2019

iMACE: In-Memory Acceleration of Classic McEliece Encoder.
Proceedings of the 2019 IEEE Computer Society Annual Symposium on VLSI, 2019

Lightweight Secure-Boot Architecture for RISC-V System-on-Chip.
Proceedings of the 20th International Symposium on Quality Electronic Design, 2019

A Comprehensive Evaluation of Power Delivery Schemes for Modern Microprocessors.
Proceedings of the 20th International Symposium on Quality Electronic Design, 2019

Reversible Pebble Games for Reducing Qubits in Hierarchical Quantum Circuit Synthesis.
Proceedings of the 2019 IEEE 49th International Symposium on Multiple-Valued Logic (ISMVL), 2019

SHINE: A Novel SHA-3 Implementation Using ReRAM-based In-Memory Computing.
Proceedings of the 2019 IEEE/ACM International Symposium on Low Power Electronics and Design, 2019

Spintronic Device-Structure for Low-Energy XOR Logic using Domain Wall Motion.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2019

Analysis of the Strict Avalanche Criterion in Variants of Arbiter-Based Physically Unclonable Functions.
Proceedings of the Progress in Cryptology - INDOCRYPT 2019, 2019

Curse of Dimensionality in Adversarial Examples.
Proceedings of the International Joint Conference on Neural Networks, 2019

MUQUT: Multi-Constraint Quantum Circuit Mapping on NISQ Computers: Invited Paper.
Proceedings of the International Conference on Computer-Aided Design, 2019

RATAFIA: Ransomware Analysis using Time And Frequency Informed Autoencoders.
Proceedings of the IEEE International Symposium on Hardware Oriented Security and Trust, 2019

SAID: A Supergate-Aided Logic Synthesis Flow for Memristive Crossbars.
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2019

La Petite Fee Cosmo: Learning Data Structures Through Game-Based Learning.
Proceedings of the 2019 International Conference on Cyberworlds, 2019

Number "Not Used" Once - Practical Fault Attack on pqm4 Implementations of NIST Candidates.
Proceedings of the Constructive Side-Channel Analysis and Secure Design, 2019

Recruiting Fault Tolerance Techniques for Microprocessor Security.
Proceedings of the 28th IEEE Asian Test Symposium, 2019

2018
Efficient Realization of Householder Transform Through Algorithm-Architecture Co-Design for Acceleration of QR Factorization.
IEEE Trans. Parallel Distributed Syst., 2018

Toward Threat of Implementation Attacks on Substation Security: Case Study on Fault Detection and Isolation.
IEEE Trans. Ind. Informatics, 2018

Secure and Lightweight Compressive Sensing Using Stream Cipher.
IEEE Trans. Circuits Syst. II Express Briefs, 2018

Domain Wall Motion-Based Dual-Threshold Activation Unit for Low-Power Classification of Non-Linearly Separable Functions.
IEEE Trans. Biomed. Circuits Syst., 2018

Wireless Communication and Security Issues for Cyber-Physical Systems and the Internet-of-Things.
Proc. IEEE, 2018

A template-based technique for efficient Clifford+T-based quantum circuit implementation.
Microelectron. J., 2018

Kogge-Stone Adder Realization using 1S1R Resistive Switching Crossbar Arrays.
ACM J. Emerg. Technol. Comput. Syst., 2018

TriviA and uTriviA: two fast and secure authenticated encryption schemes.
J. Cryptogr. Eng., 2018

Side-channel Assisted Existential Forgery Attack on Dilithium - A NIST PQC candidate.
IACR Cryptol. ePrint Arch., 2018

Number "Not" Used Once - Key Recovery Fault Attacks on LWE Based Lattice Cryptographic Schemes.
IACR Cryptol. ePrint Arch., 2018

Certificate Transparency Using Blockchain.
IACR Cryptol. ePrint Arch., 2018

On Hardware Implementation of Tang-Maitra Boolean Functions.
IACR Cryptol. ePrint Arch., 2018

Autonomous Vehicle: Security by Design.
CoRR, 2018

Adversarial Attacks and Defences: A Survey.
CoRR, 2018

Quantum Circuits for Toom-Cook Multiplication.
CoRR, 2018

Efficient Realization of Givens Rotation through Algorithm-Architecture Co-design for Acceleration of QR Factorization.
CoRR, 2018

RAPPER: Ransomware Prevention via Performance Counters.
CoRR, 2018

Floating Point Multiplication Mapping on ReRAM Based In-memory Computing Architecture.
Proceedings of the 31st International Conference on VLSI Design and 17th International Conference on Embedded Systems, 2018

Accelerating Hash Computations Through Efficient Instruction-Set Customisation.
Proceedings of the 31st International Conference on VLSI Design and 17th International Conference on Embedded Systems, 2018

Security Vulnerabilities of Unmanned Aerial Vehicles and Countermeasures: An Experimental Study.
Proceedings of the 31st International Conference on VLSI Design and 17th International Conference on Embedded Systems, 2018

Lightweight and High Performance SHA-256 using Architectural Folding and 4-2 Adder Compressor.
Proceedings of the IFIP/IEEE International Conference on Very Large Scale Integration, 2018

ReRAM Based In-Memory Computation of Single Bit Error Correcting BCH Code.
Proceedings of the VLSI-SoC: Design and Engineering of Electronics Systems Based on New Computing Paradigms, 2018

ReRAM-based In-Memory Computation of Galois Field arithmetic.
Proceedings of the IFIP/IEEE International Conference on Very Large Scale Integration, 2018

Crack me if you can: hardware acceleration bridging the gap between practical and theoretical cryptanalysis?: a Survey.
Proceedings of the 18th International Conference on Embedded Computer Systems: Architectures, 2018

A Blockchain Framework for Insurance Processes.
Proceedings of the 9th IFIP International Conference on New Technologies, 2018

A Security Model for Intelligent Vehicles and Smart Traffic Infrastructure.
Proceedings of the 2018 IEEE Intelligent Vehicles Symposium, 2018

BLIC: A Blockchain Protocol for Manufacturing and Supply Chain Management of ICS.
Proceedings of the IEEE International Conference on Internet of Things (iThings) and IEEE Green Computing and Communications (GreenCom) and IEEE Cyber, 2018

An FPGA-Based Brain Computer Interfacing Using Compressive Sensing and Machine Learning.
Proceedings of the 2018 IEEE Computer Society Annual Symposium on VLSI, 2018

PPAP and iPPAP: PLL-Based Protection Against Physical Attacks.
Proceedings of the 2018 IEEE Computer Society Annual Symposium on VLSI, 2018

A Hardware-Efficient Implementation of CLOC for On-chip Authenticated Encryption.
Proceedings of the 2018 IEEE Computer Society Annual Symposium on VLSI, 2018

Synthesis, Technology Mapping and Optimization for Emerging Technologies.
Proceedings of the 2018 IEEE Computer Society Annual Symposium on VLSI, 2018

Lightweight ASIC Implementation of AEGIS-128.
Proceedings of the 2018 IEEE Computer Society Annual Symposium on VLSI, 2018

Synthesis of Multi-valued Literal Using Lukasiewicz Logic.
Proceedings of the 48th IEEE International Symposium on Multiple-Valued Logic, 2018

A New High Throughput and Area Efficient SHA-3 Implementation.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2018

Efficient and Lightweight Quantized Compressive Sensing using μ-Law.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2018

Efficient Hardware Accelerator for NORX Authenticated Encryption.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2018

SMARTS: secure memory assurance of RISC-V trusted SoC.
Proceedings of the 7th International Workshop on Hardware and Architectural Support for Security and Privacy, 2018

Domain Wall Motion-based XOR-like Activation Unit With A Programmable Threshold.
Proceedings of the 2018 International Joint Conference on Neural Networks, 2018

Logic-In-Memory Architecture For Min/Max Search.
Proceedings of the 25th IEEE International Conference on Electronics, Circuits and Systems, 2018

DFARPA: Differential fault attack resistant physical design automation.
Proceedings of the 2018 Design, Automation & Test in Europe Conference & Exhibition, 2018

Technology-aware logic synthesis for ReRAM based in-memory computing.
Proceedings of the 2018 Design, Automation & Test in Europe Conference & Exhibition, 2018

Achieving Efficient Realization of Kalman Filter on CGRA Through Algorithm-Architecture Co-design.
Proceedings of the Applied Reconfigurable Computing. Architectures, Tools, and Applications, 2018

CoLPUF : A Novel Configurable LFSR-based PUF.
Proceedings of the 2018 IEEE Asia Pacific Conference on Circuits and Systems, 2018

Secure and Tamper-resilient Distributed Ledger for Data Aggregation in Autonomous Vehicles.
Proceedings of the 2018 IEEE Asia Pacific Conference on Circuits and Systems, 2018

MAMI: Majority and Multi-Input Logic on Memristive Crossbar Array.
Proceedings of the 2018 IEEE Asia Pacific Conference on Circuits and Systems, 2018

2017
A Flexible Divide-and-Conquer MPSoC Architecture for MIMO Interference Cancellation.
IEEE Trans. Very Large Scale Integr. Syst., 2017

RC4-AccSuite: A Hardware Acceleration Suite for RC4-Like Stream Ciphers.
IEEE Trans. Very Large Scale Integr. Syst., 2017

Hardware Architectures for Embedded Speaker Recognition Applications: A Survey.
ACM Trans. Embed. Comput. Syst., 2017

Accelerating BLAS and LAPACK via Efficient Floating Point Architecture Design.
Parallel Process. Lett., 2017

Efficient complementary resistive switch-based crossbar array Booth multiplier.
Microelectron. J., 2017

Attacks in Reality: the Limits of Concurrent Error Detection Codes Against Laser Fault Injection.
J. Hardw. Syst. Secur., 2017

Efficient implementation of generalized Maiorana-McFarland class of cryptographic functions.
J. Cryptogr. Eng., 2017

Differential Fault Attack on Grain v1, ACORN v3 and Lizard.
IACR Cryptol. ePrint Arch., 2017

A Practical Fault Attack on ARX-like Ciphers with a Case Study on ChaCha20.
IACR Cryptol. ePrint Arch., 2017

A Comprehensive Performance Analysis of Hardware Implementations of CAESAR Candidates.
IACR Cryptol. ePrint Arch., 2017

Looting the LUTs : FPGA Optimization of AES and AES-like Ciphers for Authenticated Encryption.
IACR Cryptol. ePrint Arch., 2017

An analysis of root functions - A subclass of the Impossible Class of Faulty Functions (ICFF).
Discret. Appl. Math., 2017

Depth-Optimal Quantum Circuit Placement for Arbitrary Topologies.
CoRR, 2017

Storages Are Not Forever.
Cogn. Comput., 2017

Efficient Binary Basic Linear Algebra Operations on ReRAM Crossbar Arrays.
Proceedings of the 30th International Conference on VLSI Design and 16th International Conference on Embedded Systems, 2017

Survey of secure processors.
Proceedings of the 2017 International Conference on Embedded Computer Systems: Architectures, 2017

Automatic Test Pattern Generation for Multiple Missing Gate Faults in Reversible Circuits - Work in Progress Report.
Proceedings of the Reversible Computation - 9th International Conference, 2017

Designing Parity Preserving Reversible Circuits.
Proceedings of the Reversible Computation - 9th International Conference, 2017

SHA-3 implementation using ReRAM based in-memory computing architecture.
Proceedings of the 18th International Symposium on Quality Electronic Design, 2017

Security of autonomous vehicle as a cyber-physical system.
Proceedings of the 7th International Symposium on Embedded Computing and System Design, 2017

Side-Channel Attack on STTRAM Based Cache for Cryptographic Application.
Proceedings of the 2017 IEEE International Conference on Computer Design, 2017

Secure Cyber-Physical Systems: Current trends, tools and open research problems.
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2017

ReVAMP: ReRAM based VLIW architecture for in-memory computing.
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2017

A systematic security analysis of real-time cyber-physical systems.
Proceedings of the 22nd Asia and South Pacific Design Automation Conference, 2017

Area-constrained technology mapping for in-memory computing using ReRAM devices.
Proceedings of the 22nd Asia and South Pacific Design Automation Conference, 2017

2016
RunStream: A High-Level Rapid Prototyping Framework for Stream Ciphers.
ACM Trans. Embed. Comput. Syst., 2016

Three Snakes in One Hole: The First Systematic Hardware Accelerator Design for SOSEMANUK with Optional Serpent and SNOW 2.0 Modes.
IEEE Trans. Computers, 2016

A Sound and Complete Axiomatization of Majority-n Logic.
IEEE Trans. Computers, 2016

RunFein: a rapid prototyping framework for Feistel and SPN-based block ciphers.
J. Cryptogr. Eng., 2016

Accelerating BLAS on Custom Architecture through Algorithm-Architecture Co-design.
CoRR, 2016

Ancilla-free Reversible Logic Synthesis via Sorting.
CoRR, 2016

Reversible Logic Circuit Complexity Analysis via Functional Decomposition.
CoRR, 2016

Achieving Efficient QR Factorization by Algorithm-Architecture Co-design of Householder Transformation.
Proceedings of the 29th International Conference on VLSI Design and 15th International Conference on Embedded Systems, 2016

Enabling in-memory computation of binary BLAS using ReRAM crossbar arrays.
Proceedings of the 2016 IFIP/IEEE International Conference on Very Large Scale Integration, 2016

Hardware Accelerator for Stream Cipher Spritz.
Proceedings of the 13th International Joint Conference on e-Business and Telecommunications (ICETE 2016), 2016

From reversible logic to quantum circuits: Logic design for an emerging technology.
Proceedings of the International Conference on Embedded Computer Systems: Architectures, 2016

Racetrack memory-based encoder/decoder for low-power interconnect architectures.
Proceedings of the International Conference on Embedded Computer Systems: Architectures, 2016

Efficient implementation of multiplexer and priority multiplexer using 1S1R ReRAM crossbar arrays.
Proceedings of the IEEE 59th International Midwest Symposium on Circuits and Systems, 2016

Energy Optimization of Racetrack Memory-Based SIMON Block Cipher.
Proceedings of the IEEE Computer Society Annual Symposium on VLSI, 2016

FPGA Based Cyber Security Protocol for Automated Traffic Monitoring Systems: Proposal and Implementation.
Proceedings of the IEEE Computer Society Annual Symposium on VLSI, 2016

Reliable Many-Core System-on-Chip Design Using K-Node Fault Tolerant Graphs.
Proceedings of the IEEE Computer Society Annual Symposium on VLSI, 2016

Integrated Synthesis of Linear Nearest Neighbor Ancilla-Free MCT Circuits.
Proceedings of the 46th IEEE International Symposium on Multiple-Valued Logic, 2016

Notes on Majority Boolean Algebra.
Proceedings of the 46th IEEE International Symposium on Multiple-Valued Logic, 2016

Nearest-Neighbor and Fault-Tolerant Quantum Circuit Implementation.
Proceedings of the 46th IEEE International Symposium on Multiple-Valued Logic, 2016

Modified projected Landweber method for Compressive-Sensing reconstruction of images with non-orthogonal matrices.
Proceedings of the International Symposium on Integrated Circuits, 2016

Low-quantum cost circuit constructions for adder and symmetric Boolean functions.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2016

Delay-optimal technology mapping for in-memory computing using ReRAM devices.
Proceedings of the 35th International Conference on Computer-Aided Design, 2016

A low overhead error confinement method based on application statistical characteristics.
Proceedings of the 2016 Design, Automation & Test in Europe Conference & Exhibition, 2016

The Programmable Logic-in-Memory (PLiM) computer.
Proceedings of the 2016 Design, Automation & Test in Europe Conference & Exhibition, 2016

Unlocking efficiency and scalability of reversible logic synthesis using conventional logic synthesis.
Proceedings of the 53rd Annual Design Automation Conference, 2016

Statistical fault injection for impact-evaluation of timing errors on application performance.
Proceedings of the 53rd Annual Design Automation Conference, 2016

Bypassing Parity Protected Cryptography using Laser Fault Injection in Cyber-Physical System.
Proceedings of the 2nd ACM International Workshop on Cyber-Physical System Security, 2016

Runtime NBTI Mitigation for Processor Lifespan Extension via Selective Node Control.
Proceedings of the 25th IEEE Asian Test Symposium, 2016

Look-ahead schemes for nearest neighbor optimization of 1D and 2D quantum circuits.
Proceedings of the 21st Asia and South Pacific Design Automation Conference, 2016

2015
Flexible, Efficient Multimode MIMO Detection by Using Reconfigurable ASIP.
IEEE Trans. Very Large Scale Integr. Syst., 2015

TriviA: A Fast and Secure Authenticated Encryption Scheme.
IACR Cryptol. ePrint Arch., 2015

EvoDeb: Debugging Evolving Hardware Designs.
Proceedings of the 28th International Conference on VLSI Design, 2015

Exploiting scalable CGRA mapping of LU for energy efficiency using the Layers architecture.
Proceedings of the 2015 IFIP/IEEE International Conference on Very Large Scale Integration, 2015

Trace Buffer Attack: Security versus observability study in post-silicon debug.
Proceedings of the 2015 IFIP/IEEE International Conference on Very Large Scale Integration, 2015

Design and synthesis of reconfigurable control-flow structures for CGRA.
Proceedings of the International Conference on ReConFigurable Computing and FPGAs, 2015

Architectural reliability estimation using design diversity.
Proceedings of the Sixteenth International Symposium on Quality Electronic Design, 2015

Fredkin-Enabled Transformation-Based Reversible Logic Synthesis.
Proceedings of the 2015 IEEE International Symposium on Multiple-Valued Logic, 2015

Reversible Logic Synthesis via Biconditional Binary Decision Diagrams.
Proceedings of the 2015 IEEE International Symposium on Multiple-Valued Logic, 2015

In-memory adder functionality in 1S1R arrays.
Proceedings of the 2015 IEEE International Symposium on Circuits and Systems, 2015

Direct FPGA-based power profiling for a RISC processor.
Proceedings of the 2015 IEEE International Instrumentation and Measurement Technology Conference (I2MTC) Proceedings, 2015

New ASIC/FPGA Cost Estimates for SHA-1 Collisions.
Proceedings of the 2015 Euromicro Conference on Digital System Design, 2015

Exploiting dynamic timing margins in microprocessors for frequency-over-scaling with instruction-based clock adjustment.
Proceedings of the 2015 Design, Automation & Test in Europe Conference & Exhibition, 2015

Scalable and Efficient Linear Algebra Kernel Mapping for Low Energy Consumption on the Layers CGRA.
Proceedings of the Applied Reconfigurable Computing - 11th International Symposium, 2015

A Timing Driven Cycle-Accurate Simulation for Coarse-Grained Reconfigurable Architectures.
Proceedings of the Applied Reconfigurable Computing - 11th International Symposium, 2015

2014
Designing stream ciphers with scalable data-widths: a case study with HC-128.
J. Cryptogr. Eng., 2014

Ancilla-Quantum Cost Trade-off during Reversible Logic Synthesis using Exclusive Sum-of-Products.
CoRR, 2014

Complexity Analysis of Reversible Logic Synthesis.
CoRR, 2014

Efficient QR Decomposition Using Low Complexity Column-wise Givens Rotation (CGR).
Proceedings of the 2014 27th International Conference on VLSI Design, 2014

Tutorial T2B: Cost / Application / Time to Market Driven SoC Design and Manufacturing Strategy.
Proceedings of the 2014 27th International Conference on VLSI Design, 2014

Scalable and energy-efficient reconfigurable accelerator for column-wise givens rotation.
Proceedings of the 22nd International Conference on Very Large Scale Integration, 2014

Force-directed scheduling for Data Flow Graph mapping on Coarse-Grained Reconfigurable Architectures.
Proceedings of the 2014 International Conference on ReConFigurable Computing and FPGAs, 2014

Constructive Reversible Logic Synthesis for Boolean Functions with Special Properties.
Proceedings of the Reversible Computation - 6th International Conference, 2014

Processor Design with Asymmetric Reliability.
Proceedings of the IEEE Computer Society Annual Symposium on VLSI, 2014

Efficient Reversible Logic Synthesis via Isomorphic Subgraph Matching.
Proceedings of the IEEE 44th International Symposium on Multiple-Valued Logic, 2014

One Word/Cycle HC-128 Accelerator via State-Splitting Optimization.
Proceedings of the Progress in Cryptology - INDOCRYPT 2014, 2014

Cryptanalysis of the Double-Feedback XOR-Chain Scheme Proposed in Indocrypt 2013.
Proceedings of the Progress in Cryptology - INDOCRYPT 2014, 2014

System-level reliability exploration framework for heterogeneous MPSoC.
Proceedings of the Great Lakes Symposium on VLSI 2014, GLSVLSI '14, Houston, TX, USA - May 21, 2014

Efficient Hardware Accelerator for AEGIS-128 Authenticated Encryption.
Proceedings of the Information Security and Cryptology - 10th International Conference, 2014

Efficient and scalable CGRA-based implementation of Column-wise Givens Rotation.
Proceedings of the IEEE 25th International Conference on Application-Specific Systems, 2014

2013
Ingredients of Adaptability: A Survey of Reconfigurable Processors.
VLSI Design, 2013

High-Performance Hardware Implementation for RC4 Stream Cipher.
IEEE Trans. Computers, 2013

Quad-RC4: Merging Four RC4 States towards a 32-bit Stream Cipher.
IACR Cryptol. ePrint Arch., 2013

Three Snakes in One Hole: A 67 Gbps Flexible Hardware for SOSEMANUK with Optional Serpent and SNOW 2.0 Modes.
IACR Cryptol. ePrint Arch., 2013

Optimized GPU Implementation and Performance Analysis of HC Series of Stream Ciphers.
IACR Cryptol. ePrint Arch., 2013

Designing integrated accelerator for stream ciphers with structural similarities.
Cryptogr. Commun., 2013

Exploiting architecture description language for diverse IP synthesis in heterogeneous MPSoC.
Proceedings of the 2012 International Conference on Reconfigurable Computing and FPGAs, 2013

Fast reliability exploration for embedded processors via high-level fault injection.
Proceedings of the International Symposium on Quality Electronic Design, 2013

Analysis and Improvement of Transformation-Based Reversible Logic Synthesis.
Proceedings of the 43rd IEEE International Symposium on Multiple-Valued Logic, 2013

Opportunistic redundancy for improving reliability of embedded processors.
Proceedings of the 8th International Design and Test Symposium, 2013

RAPID-FeinSPN: A Rapid Prototyping Framework for Feistel and SPN-Based Block Ciphers.
Proceedings of the Information Systems Security - 9th International Conference, 2013

Power modeling and estimation during ADL-driven embedded processor design.
Proceedings of the 4th Annual International Conference on Energy Aware Computing Systems and Applications, 2013

SI-DFA: Sub-expression integrated Deterministic Finite Automata for Deep Packet Inspection.
Proceedings of the IEEE 14th International Conference on High Performance Switching and Routing, 2013

Accurate and efficient reliability estimation techniques during ADL-driven embedded processor design.
Proceedings of the Design, Automation and Test in Europe, 2013

High-level modeling and synthesis for embedded FPGAs.
Proceedings of the Design, Automation and Test in Europe, 2013

CoARX: a coprocessor for ARX-based cryptographic algorithms.
Proceedings of the 50th Annual Design Automation Conference 2013, 2013

New Speed Records for Salsa20 Stream Cipher Using an Autotuning Framework on GPUs.
Proceedings of the Progress in Cryptology, 2013

2012
High-Level Design Space and Flexibility Exploration for Adaptive, Energy-Efficient WCDMA Channel Estimation Architectures.
Int. J. Reconfigurable Comput., 2012

Exploring security-performance trade-offs during hardware accelerator design of stream cipher RC4.
Proceedings of the 20th IEEE/IFIP International Conference on VLSI and System-on-Chip, 2012

ASIC synthesis using Architecture Description Language.
Proceedings of Technical Program of 2012 VLSI Design, Automation and Test, 2012

Design and analysis of layered coarse-grained reconfigurable architecture.
Proceedings of the 2012 International Conference on Reconfigurable Computing and FPGAs, 2012

Designing high-throughput hardware accelerator for stream cipher HC-128.
Proceedings of the 2012 IEEE International Symposium on Circuits and Systems, 2012

FLEXDET: Flexible, Efficient Multi-Mode MIMO Detection Using Reconfigurable ASIP.
Proceedings of the 2012 IEEE 20th Annual International Symposium on Field-Programmable Custom Computing Machines, 2012

2011
Combinational logic synthesis for material implication.
Proceedings of the IEEE/IFIP 19th International Conference on VLSI and System-on-Chip, 2011

Adaptive Energy-Efficient Architecture for WCDMA Channel Estimation.
Proceedings of the 2011 International Conference on Reconfigurable Computing and FPGAs, 2011

HiPAcc-LTE: An Integrated High Performance Accelerator for 3GPP LTE Stream Ciphers.
Proceedings of the Progress in Cryptology - INDOCRYPT 2011, 2011

2010
Automatic Generation of Memory Interfaces for ASIPs.
Int. J. Embed. Real Time Commun. Syst., 2010

2009
Integrated verification approach during ADL-driven processor design.
Microelectron. J., 2009

Automatic generation of memory interfaces.
Proceedings of the 2008 IEEE International Symposium on System-on-Chip, 2009

2008
A Design Flow for Architecture Exploration and Implementation of Partially Reconfigurable Processors.
IEEE Trans. Very Large Scale Integr. Syst., 2008

Prefabrication and postfabrication architecture exploration for partially reconfigurable VLIW processors.
ACM Trans. Embed. Comput. Syst., 2008

Power-efficient Instruction Encoding Optimization for Various Architecture Classes.
J. Comput., 2008

High-level Modelling and Exploration of Coarse-grained Re-configurable Architectures.
Proceedings of the Design, Automation and Test in Europe, 2008

2007
Power-efficient Instruction Encoding Optimization for Embedded Processors.
Proceedings of the 20th International Conference on VLSI Design (VLSI Design 2007), 2007

Pre- and Post-Fabrication Architecture Exploration for Partially Reconfigurable VLIW Processors.
Proceedings of the 18th IEEE International Workshop on Rapid System Prototyping (RSP 2007), 2007

Increasing data-bandwidth to instruction-set extensions through register clustering.
Proceedings of the 2007 International Conference on Computer-Aided Design, 2007

Design space exploration of partially re-configurable embedded processors.
Proceedings of the 2007 Design, Automation and Test in Europe Conference and Exposition, 2007

2006
Automatic ADL-based operand isolation for embedded processors.
Proceedings of the Conference on Design, Automation and Test in Europe, 2006

2005
Optimization Techniques for ADL-Driven RTL Processor Synthesis.
Proceedings of the 16th IEEE International Workshop on Rapid System Prototyping (RSP 2005), 2005

Applying Resource Sharing Algorithms to ADL-driven Automatic ASIP Implementation.
Proceedings of the 23rd International Conference on Computer Design (ICCD 2005), 2005

A framework for automated and optimized ASIP implementation supporting multiple hardware description languages.
Proceedings of the 2005 Conference on Asia South Pacific Design Automation, 2005

Instruction Set Customization of Application Specific Processors for Network Processing: A Case Study.
Proceedings of the 16th IEEE International Conference on Application-Specific Systems, 2005

2004
RTL Processor Synthesis for Architecture Exploration and Implementation.
Proceedings of the 2004 Design, 2004


  Loading...