Vishal Khandelwal

According to our database1, Vishal Khandelwal authored at least 29 papers between 2003 and 2023.

Collaborative distances:
  • Dijkstra number2 of five.
  • Erdős number3 of four.

Timeline

Legend:

Book 
In proceedings 
Article 
PhD thesis 
Dataset
Other 

Links

On csauthors.net:

Bibliography

2023
RL-CCD: Concurrent Clock and Data Optimization using Attention-Based Self-Supervised Reinforcement Learning.
Proceedings of the 60th ACM/IEEE Design Automation Conference, 2023

2022
Driving Early Physical Synthesis Exploration through End-of-Flow Total Power Prediction.
Proceedings of the 2022 ACM/IEEE Workshop on Machine Learning for CAD, 2022

Machine-Learning Enabled PPA Closure for Next-Generation Designs.
Proceedings of the ISPD 2022: International Symposium on Physical Design, Virtual Event, Canada, March 27, 2022

2021
Machine Learning-Enabled High-Frequency Low-Power Digital Design Implementation At Advanced Process Nodes.
Proceedings of the ISPD '21: International Symposium on Physical Design, 2021

Doomed Run Prediction in Physical Design by Exploiting Sequential Flow and Graph Learning.
Proceedings of the IEEE/ACM International Conference On Computer Aided Design, 2021

RL-Sizer: VLSI Gate Sizing for Timing Optimization using Deep Reinforcement Learning.
Proceedings of the 58th ACM/IEEE Design Automation Conference, 2021

2020
Machine-Learning Enabled Next-Generation Physical Design - An EDA Perspective.
Proceedings of the MLCAD '20: 2020 ACM/IEEE Workshop on Machine Learning for CAD, 2020

2009
On improving optimization effectiveness in interconnect-driven physical synthesis.
Proceedings of the 2009 International Symposium on Physical Design, 2009

2008
Basic Algorithmic Techniques.
Proceedings of the Handbook of Algorithms for Physical Design Automation., 2008

Variability-Driven Formulation for Simultaneous Gate Sizing and Postsilicon Tunability Allocation.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2008

2007
Variability-Aware VLSI Design Automation For Nanoscale Technologies.
PhD thesis, 2007

A Quadratic Modeling-Based Framework for Accurate Statistical Timing Analysis Considering Correlations.
IEEE Trans. Very Large Scale Integr. Syst., 2007

Leakage Control Through Fine-Grained Placement and Sizing of Sleep Transistors.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2007

Active mode leakage reduction using fine-grained forward body biasing strategy.
Integr., 2007

Efficient and Accurate Statistical Timing Analysis for Non-Linear Non-Gaussian Variability With Incremental Attributes.
Proceedings of the 20th International Conference on VLSI Design (VLSI Design 2007), 2007

Variability Driven Joint Leakage-Delay Optimization Through Gate Sizing with Provabale Convergence.
Proceedings of the 20th International Conference on VLSI Design (VLSI Design 2007), 2007

Variability-driven formulation for simultaneous gate sizing and post-silicon tunability allocation.
Proceedings of the 2007 International Symposium on Physical Design, 2007

Statistical timing analysis using Kernel smoothing.
Proceedings of the 25th International Conference on Computer Design, 2007

Monte-Carlo driven stochastic optimization framework for handling fabrication variability.
Proceedings of the 2007 International Conference on Computer-Aided Design, 2007

2006
A statistical methodology for wire-length prediction.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2006

Probabilistic Evaluation of Solutions in Variability-Driven Optimization.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2006

2005
Simultaneous V<sub>t</sub> selection and assignment for leakage optimization.
IEEE Trans. Very Large Scale Integr. Syst., 2005

A general framework for accurate statistical timing analysis considering correlations.
Proceedings of the 42nd Design Automation Conference, 2005

2004
Empirical models for net-length probability distribution and applications.
IEEE Trans. Very Large Scale Integr. Syst., 2004

Wire-length prediction using statistical techniques.
Proceedings of the 2004 International Conference on Computer-Aided Design, 2004

Efficient statistical timing analysis through error budgeting.
Proceedings of the 2004 International Conference on Computer-Aided Design, 2004

Variability inspired implementation selection problem.
Proceedings of the 2004 International Conference on Computer-Aided Design, 2004

High level techniques for power-grid noise immunity.
Proceedings of the 14th ACM Great Lakes Symposium on VLSI 2004, 2004

2003
A Probabilistic Approach to Buffer Insertion.
Proceedings of the 2003 International Conference on Computer-Aided Design, 2003


  Loading...