Siddhartha Nath

Orcid: 0000-0002-4848-3385

According to our database1, Siddhartha Nath authored at least 35 papers between 2011 and 2023.

Collaborative distances:

Timeline

Legend:

Book 
In proceedings 
Article 
PhD thesis 
Dataset
Other 

Links

On csauthors.net:

Bibliography

2023
ECO-GNN: Signoff Power Prediction Using Graph Neural Networks with Subgraph Approximation.
ACM Trans. Design Autom. Electr. Syst., July, 2023

BufFormer: A Generative ML Framework for Scalable Buffering.
Proceedings of the 28th Asia and South Pacific Design Automation Conference, 2023

2022
Why are Graph Neural Networks Effective for EDA Problems?: (Invited Paper).
Proceedings of the 41st IEEE/ACM International Conference on Computer-Aided Design, 2022

TransSizer: A Novel Transformer-Based Fast Gate Sizer.
Proceedings of the 41st IEEE/ACM International Conference on Computer-Aided Design, 2022

Generative self-supervised learning for gate sizing: invited.
Proceedings of the DAC '22: 59th ACM/IEEE Design Automation Conference, San Francisco, California, USA, July 10, 2022

2021
Machine Learning-Enabled High-Frequency Low-Power Digital Design Implementation At Advanced Process Nodes.
Proceedings of the ISPD '21: International Symposium on Physical Design, 2021

Optimizing VLSI Implementation with Reinforcement Learning - ICCAD Special Session Paper.
Proceedings of the IEEE/ACM International Conference On Computer Aided Design, 2021

Doomed Run Prediction in Physical Design by Exploiting Sequential Flow and Graph Learning.
Proceedings of the IEEE/ACM International Conference On Computer Aided Design, 2021

RL-Sizer: VLSI Gate Sizing for Timing Optimization using Deep Reinforcement Learning.
Proceedings of the 58th ACM/IEEE Design Automation Conference, 2021

2020
A Fast Learning-Driven Signoff Power Optimization Framework.
Proceedings of the IEEE/ACM International Conference On Computer Aided Design, 2020

2017
Optimal Scheduling and Allocation for IC Design Management and Cost Reduction.
ACM Trans. Design Autom. Electr. Syst., 2017

2016
New Applications of Learning-Based Modeling in Nanoscale Integrated-Circuit Design.
PhD thesis, 2016

BEOL stack-aware routability prediction from placement using data mining techniques.
Proceedings of the 34th IEEE International Conference on Computer Design, 2016

Learning-based prediction of embedded memory timing failures during initial floorplan design.
Proceedings of the 21st Asia and South Pacific Design Automation Conference, 2016

2015
Optimization of Overdrive Signoff in High-Performance and Low-Power ICs.
IEEE Trans. Very Large Scale Integr. Syst., 2015

ORION3.0: A Comprehensive NoC Router Estimation Tool.
IEEE Embed. Syst. Lett., 2015

SI for free: machine learning of interconnect coupling delay and transition effects.
Proceedings of the 2015 ACM/IEEE International Workshop on System Level Interconnect Prediction, 2015

Toward Metrics of Design Automation Research Impact.
Proceedings of the IEEE/ACM International Conference on Computer-Aided Design, 2015

A global-local optimization framework for simultaneous multi-mode multi-corner clock skew variation reduction.
Proceedings of the 52nd Annual Design Automation Conference, 2015

3DIC benefit estimation and implementation guidance from 2DIC implementation.
Proceedings of the 52nd Annual Design Automation Conference, 2015

2014
Methodology for electromigration signoff in the presence of adaptive voltage scaling.
Proceedings of the ACM/IEEE International Workshop on System Level Interconnect Prediction, 2014

Optimal reliability-constrained overdrive frequency selection in multicore systems.
Proceedings of the Fifteenth International Symposium on Quality Electronic Design, 2014

The ITRS MPU and SOC system drivers: Calibration and implications for design-based equivalent scaling in the roadmap.
Proceedings of the 32nd IEEE International Conference on Computer Design, 2014

ITRS 2.0: Toward a re-framing of the Semiconductor Technology Roadmap.
Proceedings of the 32nd IEEE International Conference on Computer Design, 2014

OCV-aware top-level clock tree optimization.
Proceedings of the Great Lakes Symposium on VLSI 2014, GLSVLSI '14, Houston, TX, USA - May 21, 2014

A deep learning methodology to proliferate golden signoff timing.
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2014

2013
High-dimensional metamodeling for prediction of clock tree synthesis outcomes.
Proceedings of the ACM/IEEE International Workshop on System Level Interconnect Prediction, 2013

Learning-based approximation of interconnect delay and slew in signoff timing tools.
Proceedings of the ACM/IEEE International Workshop on System Level Interconnect Prediction, 2013

Incremental multiple-scan chain ordering for ECO flip-flop insertion.
Proceedings of the IEEE/ACM International Conference on Computer-Aided Design, 2013

Enhanced metamodeling techniques for high-dimensional IC design estimation problems.
Proceedings of the Design, Automation and Test in Europe, 2013

On potential design impacts of electromigration awareness.
Proceedings of the 18th Asia and South Pacific Design Automation Conference, 2013

Optimization of overdrive signoff.
Proceedings of the 18th Asia and South Pacific Design Automation Conference, 2013

2012
Redefining the Role of the CPU in the Era of CPU-GPU Integration.
IEEE Micro, 2012

Explicit modeling of control and data for improved NoC router estimation.
Proceedings of the 49th Annual Design Automation Conference 2012, 2012

2011
The GreenDroid Mobile Application Processor: An Architecture for Silicon's Dark Future.
IEEE Micro, 2011


  Loading...