Azadeh Davoodi

Orcid: 0000-0001-5213-2556

Affiliations:
  • University of Wisconsin, USA


According to our database1, Azadeh Davoodi authored at least 92 papers between 2003 and 2023.

Collaborative distances:

Timeline

Legend:

Book 
In proceedings 
Article 
PhD thesis 
Dataset
Other 

Links

Online presence:

On csauthors.net:

Bibliography

2023
Introduction to Special Section on FPT'20.
ACM Trans. Reconfigurable Technol. Syst., March, 2023

ObfusX: Routing obfuscation with explanatory analysis of a machine learning attack.
Integr., March, 2023

Block Pruning for Enhanced Efficiency in Convolutional Neural Networks.
CoRR, 2023

Neural Network Partitioning for Fast Distributed Inference.
Proceedings of the 24th International Symposium on Quality Electronic Design, 2023

2022
CAP'NN: A Class-aware Framework for Personalized Neural Network Inference.
ACM Trans. Embed. Comput. Syst., September, 2022

$\text{Edge}^{n}$ AI: Distributed Inference with Local Edge Devices and Minimal Latency.
Proceedings of the 27th Asia and South Pacific Design Automation Conference, 2022

2021
AirNN: A Featherweight Framework for Dynamic Input-Dependent Approximation of CNNs.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2021

A Mixture of Experts Approach for Low-Cost DNN Customization.
IEEE Des. Test, 2021

Lorax: Machine Learning-Based Oracle Reconstruction With Minimal I/O Patterns.
Proceedings of the IEEE Computer Society Annual Symposium on VLSI, 2021

Sampling-Based Approximate Logic Synthesis: An Explainable Machine Learning Approach.
Proceedings of the IEEE/ACM International Conference On Computer Aided Design, 2021


2020
Explainable DRC Hotspot Prediction with Random Forest and SHAP Tree Explainer.
Proceedings of the 2020 Design, Automation & Test in Europe Conference & Exhibition, 2020

CAP'NN: Class-Aware Personalized Neural Network Inference.
Proceedings of the 57th ACM/IEEE Design Automation Conference, 2020

CHaPR: Efficient Inference of CNNs via Channel Pruning.
Proceedings of the 2020 International Conference on Omni-layer Intelligent Systems, 2020

2019
Analysis of Security of Split Manufacturing Using Machine Learning.
IEEE Trans. Very Large Scale Integr. Syst., 2019

Power-efficient ReRAM-aware CNN model generation.
Integr., 2019

Efficient Inference of CNNs via Channel Pruning.
CoRR, 2019

Dynamic Reconfiguration of CNNs for Input-Dependent Approximation.
Proceedings of the 20th International Symposium on Quality Electronic Design, 2019

2018
Exploring Energy and Accuracy Tradeoff in Structure Simplification of Trained Deep Neural Networks.
IEEE J. Emerg. Sel. Topics Circuits Syst., 2018

Design Rule Violation Hotspot Prediction Based on Neural Network Ensembles.
CoRR, 2018

A Mixture of Expert Approach for Low-Cost Customization of Deep Neural Networks.
CoRR, 2018

A Comparative Study of Local Net Modeling Using Machine Learning.
Proceedings of the 2018 on Great Lakes Symposium on VLSI, 2018

Analysis of security of split manufacturing using machine learning.
Proceedings of the 55th Annual Design Automation Conference, 2018

2017
Are Proximity Attacks a Threat to the Security of Split Manufacturing of Integrated Circuits?
IEEE Trans. Very Large Scale Integr. Syst., 2017

Dynamic Planning of Local Congestion From Varying-Size Vias for Global Routing Layer Assignment.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2017

Improving Detailed Routability and Pin Access with 3D Monolithic Standard Cells.
Proceedings of the 2017 ACM on International Symposium on Physical Design, 2017

Technology mapping with all spin logic.
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2017

TraPL: Track Planning of Local Congestion for Global Routing.
Proceedings of the 54th Annual Design Automation Conference, 2017

Flexible interconnect in 2.5D ICs to minimize the interposer's metal layers.
Proceedings of the 22nd Asia and South Pacific Design Automation Conference, 2017

2016
Preface to Special Section on New Physical Design Techniques for the Next Generation of Integration Technology.
ACM Trans. Design Autom. Electr. Syst., 2016

Are proximity attacks a threat to the security of split manufacturing of integrated circuits?
Proceedings of the 35th International Conference on Computer-Aided Design, 2016

A procedure for improving the distribution of congestion in global routing.
Proceedings of the 2016 Design, Automation & Test in Europe Conference & Exhibition, 2016

2015
Guest Editorial: Special Section on Physical Design Techniques for Advanced Technology Nodes.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2015

Automatic die placement and flexible I/O assignment in 2.5D IC design.
Proceedings of the Sixteenth International Symposium on Quality Electronic Design, 2015

Online and Operand-Aware Detection of Failures Utilizing False Alarm Vectors.
Proceedings of the 25th edition on Great Lakes Symposium on VLSI, GLVLSI 2015, Pittsburgh, PA, USA, May 20, 2015

On using control signals for word-level identification in a gate-level netlist.
Proceedings of the 52nd Annual Design Automation Conference, 2015

2014
A Hybrid Approach for Fast and Accurate Trace Signal Selection for Post-Silicon Debug.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2014

Multi-mode trace signal selection for post-silicon debug.
Proceedings of the 19th Asia and South Pacific Design Automation Conference, 2014

2013
Power-Driven Global Routing for Multisupply Voltage Domains.
VLSI Design, 2013

Collaborative Multiobjective Global Routing.
IEEE Trans. Very Large Scale Integr. Syst., 2013

A fast and scalable multidimensional multiple-choice knapsack heuristic.
ACM Trans. Design Autom. Electr. Syst., 2013

A Sensor-Assisted Self-Authentication Framework for Hardware Trojan Detection.
IEEE Des. Test, 2013

Planning for local net congestion in global routing.
Proceedings of the International Symposium on Physical Design, 2013

2012
Post-Silicon Failing-Path Isolation Incorporating the Effects of Process Variations.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2012

Custom on-chip sensors for post-silicon failing path isolation in the presence of process variations.
Proceedings of the 2012 Design, Automation & Test in Europe Conference & Exhibition, 2012

Confidentiality preserving integer programming for global routing.
Proceedings of the 49th Annual Design Automation Conference 2012, 2012

2011
Bound-Based Statistically-Critical Path Extraction Under Process Variations.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2011

GRIP: Global Routing via Integer Programming.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2011

Congestion analysis for global routing via integer programming.
Proceedings of the 2011 IEEE/ACM International Conference on Computer-Aided Design, 2011

Power-driven global routing for multi-supply voltage domains.
Proceedings of the Design, Automation and Test in Europe, 2011

2010
A pareto-algebraic framework for signal power optimization in global routing.
Proceedings of the 2010 International Symposium on Low Power Electronics and Design, 2010

Trace signal selection to enhance timing and logic visibility in post-silicon validation.
Proceedings of the 2010 International Conference on Computer-Aided Design, 2010

Post-silicon diagnosis of segments of failing speedpaths due to manufacturing variations.
Proceedings of the 47th Design Automation Conference, 2010

Representative path selection for post-silicon timing prediction under variability.
Proceedings of the 47th Design Automation Conference, 2010

A parallel integer programming approach to global routing.
Proceedings of the 47th Design Automation Conference, 2010

Runtime temperature-based power estimation for optimizing throughput of thermal-constrained multi-core processors.
Proceedings of the 15th Asia South Pacific Design Automation Conference, 2010

2009
Adjustment-Based Modeling for Timing Analysis Under Variability.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2009

PaRS: Parallel and Near-Optimal Grid-Based Cell Sizing for Library-Based Design.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2009

False Path Aware Timing Yield Estimation under Variability.
Proceedings of the 27th IEEE VLSI Test Symposium, 2009

Statistical static timing analysis considering leakage variability in power gated designs.
Proceedings of the 2009 International Symposium on Low Power Electronics and Design, 2009

GRIP: scalable 3D global routing using integer programming.
Proceedings of the 46th Design Automation Conference, 2009

Bound-based identification of timing-violating paths under variability.
Proceedings of the 14th Asia South Pacific Design Automation Conference, 2009

2008
Variability Driven Gate Sizing for Binning Yield Optimization.
IEEE Trans. Very Large Scale Integr. Syst., 2008

Robust Estimation of Timing Yield With Partial Statistical Information on Process Variations.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2008

A Parallel and Randomized Algorithm for Large-Scale Discrete Dual-Vt Assignment and Continuous Gate Sizing.
J. Low Power Electron., 2008

Fast and accurate statistical static timing analysis with skewed process parameter variation.
IET Circuits Devices Syst., 2008

A Dual-Vt low leakage SRAM array robust to process variations.
Proceedings of the International Symposium on Circuits and Systems (ISCAS 2008), 2008

SynECO: Incremental technology mapping with constrained placement and fast detail routing for predictable timing improvement.
Proceedings of the 26th International Conference on Computer Design, 2008

Adjustment-based modeling for statistical static timing analysis with high dimension of variability.
Proceedings of the 2008 International Conference on Computer-Aided Design, 2008

PaRS: fast and near-optimal grid-based cell sizing for library-based design.
Proceedings of the 2008 International Conference on Computer-Aided Design, 2008

2007
Variability Driven Joint Leakage-Delay Optimization Through Gate Sizing with Provabale Convergence.
Proceedings of the 20th International Conference on VLSI Design (VLSI Design 2007), 2007

Comparison of Dual-Vt Configurations of SRAM Cell Considering Process-Induced Vt Variations.
Proceedings of the International Symposium on Circuits and Systems (ISCAS 2007), 2007

Statistical timing analysis using Kernel smoothing.
Proceedings of the 25th International Conference on Computer Design, 2007

2006
Effective techniques for the generalized low-power binding problem.
ACM Trans. Design Autom. Electr. Syst., 2006

A statistical methodology for wire-length prediction.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2006

Probabilistic Evaluation of Solutions in Variability-Driven Optimization.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2006

FPGA Dynamic Power Minimization through Placement and Routing Constraints.
EURASIP J. Embed. Syst., 2006

Probabilistic evaluation of solutions in variability-driven optimization.
Proceedings of the 2006 International Symposium on Physical Design, 2006

2005
Simultaneous V<sub>t</sub> selection and assignment for leakage optimization.
IEEE Trans. Very Large Scale Integr. Syst., 2005

Power-driven simultaneous resource binding and floorplanning: a probabilistic approach.
IEEE Trans. Very Large Scale Integr. Syst., 2005

Voltage scheduling under unpredictabilities: a risk management paradigm.
ACM Trans. Design Autom. Electr. Syst., 2005

Probabilistic dual-Vth leakage optimization under variability.
Proceedings of the 2005 International Symposium on Low Power Electronics and Design, 2005

Variability-Driven Buffer Insertion Considering Correlations.
Proceedings of the 23rd International Conference on Computer Design (ICCD 2005), 2005

Wake-up protocols for controlling current surges in MTCMOS-based technology.
Proceedings of the 2005 Conference on Asia South Pacific Design Automation, 2005

Simultaneous floorplanning and resource binding: a probabilistic approach.
Proceedings of the 2005 Conference on Asia South Pacific Design Automation, 2005

2004
Empirical models for net-length probability distribution and applications.
IEEE Trans. Very Large Scale Integr. Syst., 2004

Wire-length prediction using statistical techniques.
Proceedings of the 2004 International Conference on Computer-Aided Design, 2004

Efficient statistical timing analysis through error budgeting.
Proceedings of the 2004 International Conference on Computer-Aided Design, 2004

Variability inspired implementation selection problem.
Proceedings of the 2004 International Conference on Computer-Aided Design, 2004

High level techniques for power-grid noise immunity.
Proceedings of the 14th ACM Great Lakes Symposium on VLSI 2004, 2004

2003
Effective graph theoretic techniques for the generalized low power binding problem.
Proceedings of the 2003 International Symposium on Low Power Electronics and Design, 2003

A Probabilistic Approach to Buffer Insertion.
Proceedings of the 2003 International Conference on Computer-Aided Design, 2003


  Loading...