Prashant Saxena

According to our database1, Prashant Saxena authored at least 42 papers between 1994 and 2022.

Collaborative distances:

Timeline

Legend:

Book 
In proceedings 
Article 
PhD thesis 
Dataset
Other 

Links

On csauthors.net:

Bibliography

2022
An Accurate, Error-Tolerant, and Energy-Efficient Neural Network Inference Engine Based on SONOS Analog Memory.
IEEE Trans. Circuits Syst. I Regul. Pap., 2022

Computational bifurcation analysis of hyperelastic thin shells.
CoRR, 2022

2021
On the Accuracy of Analog Neural Network Inference Accelerators.
CoRR, 2021

Vibration Analysis of Piezoelectric Kirchhoff-Love Shells based on Catmull-Clark Subdivision Surfaces.
CoRR, 2021

Image GPT with Super Resolution.
Proceedings of the Intelligent Data Engineering and Analytics, 2021

2019
Aspects of Isogeometric Analysis with Catmull-Clark Subdivision Surfaces.
CoRR, 2019

2017
Routability Optimization for Industrial Designs at Sub-14nm Process Nodes Using Machine Learning.
Proceedings of the 2017 ACM on International Symposium on Physical Design, 2017

2014
Aerie: flexible file-system interfaces to storage-class memory.
Proceedings of the Ninth Eurosys Conference 2014, 2014

2012
On pioneering nanometer-era routing problems.
Proceedings of the International Symposium on Physical Design, 2012

2011
Guest Editorial.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2011

Multi-mode redundancy removal.
Proceedings of the 12th International Symposium on Quality Electronic Design, 2011

2010
Guest Editorial.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2010

2009
On improving optimization effectiveness in interconnect-driven physical synthesis.
Proceedings of the 2009 International Symposium on Physical Design, 2009

2008
Estimation of Routing Congestion.
Proceedings of the Handbook of Algorithms for Physical Design Automation., 2008

2007
Routing Congestion in VLSI Circuits - Estimation and Optimization.
Series on Integrated Circuits and Systems, Springer, ISBN: 978-0-387-48550-8, 2007

2006
Technology Mapping Algorithm Targeting Routing Congestion Under Delay Constraints.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2006

On controlling perturbation due to repeaters during quadratic placement.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2006

The scaling of interconnect buffer needs.
Proceedings of the Eigth International Workshop on System-Level Interconnect Prediction (SLIP 2006), 2006

2005
A predictive distributed congestion metric with application to technology mapping.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2005

An efficient technology mapping algorithm targeting routing congestion under delay constraints.
Proceedings of the 2005 International Symposium on Physical Design, 2005

A divide-and-conquer 2.5-D floorplanning algorithm based on statistical wirelength estimation.
Proceedings of the International Symposium on Circuits and Systems (ISCAS 2005), 2005

Net weighting to reduce repeater counts during placement.
Proceedings of the 42nd Design Automation Conference, 2005

A perturbation-aware noise convergence methodology for high frequency microprocessors.
Proceedings of the 2005 Conference on Asia South Pacific Design Automation, 2005

2004
Repeater scaling and its impact on CAD.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2004

A predictive distributed congestion metric and its application to technology mapping.
Proceedings of the 2004 International Symposium on Physical Design, 2004

The great interconnect buffering debate: are you a chicken or an ostrich?
Proceedings of the 2004 International Symposium on Physical Design, 2004

Modeling repeaters explicitly within analytical placement.
Proceedings of the 41th Design Automation Conference, 2004

Realizable parasitic reduction for distributed interconnects using matrix pencil technique.
Proceedings of the 2004 Conference on Asia South Pacific Design Automation: Electronic Design and Solution Fair 2004, 2004

2003
Coupling delay optimization by temporal decorrelation using dual threshold voltage technique.
IEEE Trans. Very Large Scale Integr. Syst., 2003

On integrating power and signal routing for shield count minimization in congested regions.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2003

The scaling challenge: can correct-by-construction design help?
Proceedings of the 2003 International Symposium on Physical Design, 2003

2002
Shield count minimization in congested regions.
Proceedings of 2002 International Symposium on Physical Design, 2002

A System-Level Solution to Domino Synthesis with 2 GHz Application.
Proceedings of the 20th International Conference on Computer Design (ICCD 2002), 2002

2001
Optimization of the maximum delay of global interconnects duringlayer assignment.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2001

Coupling Delay Optimization by Temporal Decorrelation using Dual Threshold Voltage Technique.
Proceedings of the 38th Design Automation Conference, 2001

2000
A postprocessing algorithm for crosstalk-driven wire perturbation.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2000

1999
The Retiming of Single-Phase Clocked Circuits Containing Level-Sensitive Latches.
Proceedings of the 12th International Conference on VLSI Design (VLSI Design 1999), 1999

Crosstalk Minimization Using Wire Perturbations.
Proceedings of the 36th Conference on Design Automation, 1999

1998
The Retiming and Routing of VLSI Circuits
PhD thesis, 1998

A performance-driven layer assignment algorithm for multiple interconnect trees.
Proceedings of the 1998 IEEE/ACM International Conference on Computer-Aided Design, 1998

1996
A Parallel Algorithm for the Technology Mapping of LUT-Based FPGAs.
Proceedings of the Euro-Par '96 Parallel Processing, 1996

1994
Efficient Management of Dynamic Tables.
Inf. Process. Lett., 1994


  Loading...