Yi-Chen Lu

Orcid: 0000-0003-1481-9167

According to our database1, Yi-Chen Lu authored at least 36 papers between 2014 and 2024.

Collaborative distances:

Timeline

Legend:

Book 
In proceedings 
Article 
PhD thesis 
Dataset
Other 

Links

On csauthors.net:

Bibliography

2024
GAN-Place: Advancing Open Source Placers to Commercial-quality Using Generative Adversarial Networks and Transfer Learning.
ACM Trans. Design Autom. Electr. Syst., March, 2024

3.8 A 0.65V 900µm² BEoL RC-Based Temperature Sensor with ±1°C Inaccuracy from -25°C to 125°C.
Proceedings of the IEEE International Solid-State Circuits Conference, 2024

FastTuner: Transferable Physical Design Parameter Optimization using Fast Reinforcement Learning.
Proceedings of the 2024 International Symposium on Physical Design, 2024

2023
GNN-based Multi-bit Flip-flop Clustering and Post-clustering Design Optimization for Energy-efficient 3D ICs.
ACM Trans. Design Autom. Electr. Syst., September, 2023

ECO-GNN: Signoff Power Prediction Using Graph Neural Networks with Subgraph Approximation.
ACM Trans. Design Autom. Electr. Syst., July, 2023

Snap-3D: A Constrained Placement-Driven Physical Design Methodology for High Performance 3-D ICs.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., July, 2023

DREAM-GAN: Advancing DREAMPlace towards Commercial-Quality using Generative Adversarial Learning.
Proceedings of the 2023 International Symposium on Physical Design, 2023

Learning Effectiveness of Nursing Students in OSCE Video Segmentation Combined with Digital Scoring.
Proceedings of the Innovative Technologies and Learning - 6th International Conference, 2023

RL-CCD: Concurrent Clock and Data Optimization using Attention-Based Self-Supervised Reinforcement Learning.
Proceedings of the 60th ACM/IEEE Design Automation Conference, 2023

2022
A Machine Learning-Powered Tier Partitioning Methodology for Monolithic 3-D ICs.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2022

A Clock Tree Prediction and Optimization Framework Using Generative Adversarial Learning.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2022

Placement Optimization via PPA-Directed Graph Clustering.
Proceedings of the 2022 ACM/IEEE Workshop on Machine Learning for CAD, 2022

Driving Early Physical Synthesis Exploration through End-of-Flow Total Power Prediction.
Proceedings of the 2022 ACM/IEEE Workshop on Machine Learning for CAD, 2022

3D IC Tier Partitioning of Memory Macros: PPA vs. Thermal Tradeoffs.
Proceedings of the ISLPED '22: ACM/IEEE International Symposium on Low Power Electronics and Design, Boston, MA, USA, August 1, 2022

On Advancing Physical Design Using Graph Neural Networks.
Proceedings of the 41st IEEE/ACM International Conference on Computer-Aided Design, 2022

2021
Power Supply Noise-Aware At-Speed Delay Fault Testing of Monolithic 3-D ICs.
IEEE Trans. Very Large Scale Integr. Syst., 2021

Snap-3D: A Constrained Placement-Driven Physical Design Methodology for Face-to-Face-Bonded 3D ICs.
Proceedings of the ISPD '21: International Symposium on Physical Design, 2021

The Law of Attraction: Affinity-Aware Placement Optimization using Graph Neural Networks.
Proceedings of the ISPD '21: International Symposium on Physical Design, 2021

Doomed Run Prediction in Physical Design by Exploiting Sequential Flow and Graph Learning.
Proceedings of the IEEE/ACM International Conference On Computer Aided Design, 2021

RL-Sizer: VLSI Gate Sizing for Timing Optimization using Deep Reinforcement Learning.
Proceedings of the 58th ACM/IEEE Design Automation Conference, 2021

2020
Surface Acoustic Wave Sensor for C-Reactive Protein Detection.
Sensors, 2020

Pilot Study of Information Literacy Competency of the Elderly: A Case Study of Multimedia Instant Messaging Applications.
Proceedings of the Innovative Technologies and Learning - Third International Conference, 2020

A Fast Learning-Driven Signoff Power Optimization Framework.
Proceedings of the IEEE/ACM International Conference On Computer Aided Design, 2020

TP-GNN: A Graph Neural Network Framework for Tier Partitioning in Monolithic 3D ICs.
Proceedings of the 57th ACM/IEEE Design Automation Conference, 2020

Power Supply Noise-Aware Scan Test Pattern Reshaping for At-Speed Delay Fault Testing of Monolithic 3D ICs <sup>*</sup>.
Proceedings of the 29th IEEE Asian Test Symposium, 2020

2019
Multimodal Fuzzy Fusion for Enhancing the Motor-Imagery-Based Brain Computer Interface.
IEEE Comput. Intell. Mag., 2019

A Study of Problem Solving Using Blocks Vehicle in a STEAM Course for Lower Elementary Levels.
Proceedings of the Innovative Technologies and Learning - Second International Conference, 2019

GAN-CTS: A Generative Adversarial Framework for Clock Tree Prediction and Optimization.
Proceedings of the International Conference on Computer-Aided Design, 2019

2018
Application and Analysis of a Mobile E-Book System Based on Project-Based Learning in Community Health Nursing Practice Courses.
J. Educ. Technol. Soc., 2018

The Effectiveness of Health Communication for Implement Multimedia E-Book into Large and Small Groups.
Proceedings of the Innovative Technologies and Learning - First International Conference, 2018

2017
A Framework Design for On-line Human Library.
Proceedings of the Emerging Technologies for Education - Second International Symposium, 2017

2016
An energy-efficient multicast protocol for ZigBee-based networks.
Proceedings of the IEEE Wireless Communications and Networking Conference, 2016

2015
A New Model for Repairable Systems with Nonmonotone Intensity Function.
Qual. Reliab. Eng. Int., 2015

Single channel wireless EEG device for real-time fatigue level detection.
Proceedings of the 2015 International Joint Conference on Neural Networks, 2015

An intelligent personalized traffic information extraction system for road traffic safety.
Proceedings of the IEEE International Conference on Consumer Electronics - Taiwan, 2015

2014
Useful lifetime analysis for high-power white LEDs.
Microelectron. Reliab., 2014


  Loading...