Chaojian Li

Orcid: 0000-0003-4030-9777

According to our database1, Chaojian Li authored at least 36 papers between 2019 and 2024.

Collaborative distances:
  • Dijkstra number2 of four.
  • Erdős number3 of four.

Timeline

Legend:

Book 
In proceedings 
Article 
PhD thesis 
Dataset
Other 

Links

On csauthors.net:

Bibliography

2024
Omni-Recon: Towards General-Purpose Neural Radiance Fields for Versatile 3D Applications.
CoRR, 2024

Towards Cognitive AI Systems: a Survey and Prospective on Neuro-Symbolic AI.
CoRR, 2024

2023
SmartDeal: Remodeling Deep Network Weights for Efficient Inference and Training.
IEEE Trans. Neural Networks Learn. Syst., October, 2023

EyeCoD: Eye Tracking System Acceleration via FlatCam-Based Algorithm and Hardware Co-Design.
IEEE Micro, 2023

MixRT: Mixed Neural Representations For Real-Time NeRF Rendering.
CoRR, 2023

Instant-3D: Instant Neural Radiance Field Training Towards On-Device AR/VR 3D Reconstruction.
Proceedings of the 50th Annual International Symposium on Computer Architecture, 2023

GPT4AIGChip: Towards Next-Generation AI Accelerator Design Automation via Large Language Models.
Proceedings of the IEEE/ACM International Conference on Computer Aided Design, 2023

ERSAM: Neural Architecture Search for Energy-Efficient and Real-Time Social Ambiance Measurement.
Proceedings of the IEEE International Conference on Acoustics, 2023

ViTCoD: Vision Transformer Acceleration via Dedicated Algorithm and Accelerator Co-Design.
Proceedings of the IEEE International Symposium on High-Performance Computer Architecture, 2023

ViTALiTy: Unifying Low-rank and Sparse Approximation for Vision Transformer Acceleration with a Linear Taylor Attention.
Proceedings of the IEEE International Symposium on High-Performance Computer Architecture, 2023

Instant-NeRF: Instant On-Device Neural Radiance Field Training via Algorithm-Accelerator Co-Designed Near-Memory Processing.
Proceedings of the 60th ACM/IEEE Design Automation Conference, 2023

2022
DANCE: DAta-Network Co-optimization for Efficient Segmentation Model Training and Inference.
ACM Trans. Design Autom. Electr. Syst., 2022

i-FlatCam: A 253 FPS, 91.49 µJ/Frame Ultra-Compact Intelligent Lensless Camera for Real-Time and Efficient Eye Tracking in VR/AR.
Proceedings of the IEEE Symposium on VLSI Technology and Circuits (VLSI Technology and Circuits 2022), 2022

EyeCoD: eye tracking system acceleration via flatcam-based algorithm & accelerator co-design.
Proceedings of the ISCA '22: The 49th Annual International Symposium on Computer Architecture, New York, New York, USA, June 18, 2022

RT-NeRF: Real-Time On-Device Neural Radiance Fields Towards Immersive AR/VR Rendering.
Proceedings of the 41st IEEE/ACM International Conference on Computer-Aided Design, 2022

INGeo: Accelerating Instant Neural Scene Reconstruction with Noisy Geometry Priors.
Proceedings of the Computer Vision - ECCV 2022 Workshops, 2022

MIA-Former: Efficient and Robust Vision Transformers via Multi-Grained Input-Adaptation.
Proceedings of the Thirty-Sixth AAAI Conference on Artificial Intelligence, 2022

2021
FBNetV5: Neural Architecture Search for Multiple Tasks in One Run.
CoRR, 2021

HW-NAS-Bench: Hardware-Aware Neural Architecture Search Benchmark.
CoRR, 2021

SmartDeal: Re-Modeling Deep Network Weights for Efficient Inference and Training.
CoRR, 2021

Locality Sensitive Teaching.
Proceedings of the Advances in Neural Information Processing Systems 34: Annual Conference on Neural Information Processing Systems 2021, 2021

2-in-1 Accelerator: Enabling Random Precision Switch for Winning Both Adversarial Robustness and Efficiency.
Proceedings of the MICRO '21: 54th Annual IEEE/ACM International Symposium on Microarchitecture, 2021

DIAN: Differentiable Accelerator-Network Co-Search Towards Maximal DNN Efficiency.
Proceedings of the IEEE/ACM International Symposium on Low Power Electronics and Design, 2021

HW-NAS-Bench: Hardware-Aware Neural Architecture Search Benchmark.
Proceedings of the 9th International Conference on Learning Representations, 2021

A3C-S: Automated Agent Accelerator Co-Search towards Efficient Deep Reinforcement Learning.
Proceedings of the 58th ACM/IEEE Design Automation Conference, 2021

InstantNet: Automated Generation and Deployment of Instantaneously Switchable-Precision Networks.
Proceedings of the 58th ACM/IEEE Design Automation Conference, 2021

2020
DNA: Differentiable Network-Accelerator Co-Search.
CoRR, 2020

ShiftAddNet: A Hardware-Inspired Deep Network.
Proceedings of the Advances in Neural Information Processing Systems 33: Annual Conference on Neural Information Processing Systems 2020, 2020

FracTrain: Fractionally Squeezing Bit Savings Both Temporally and Spatially for Efficient DNN Training.
Proceedings of the Advances in Neural Information Processing Systems 33: Annual Conference on Neural Information Processing Systems 2020, 2020

A New MRAM-Based Process In-Memory Accelerator for Efficient Neural Network Training with Floating Point Precision.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2020

SmartExchange: Trading Higher-cost Memory Storage/Access for Lower-cost Computation.
Proceedings of the 47th ACM/IEEE Annual International Symposium on Computer Architecture, 2020

Drawing Early-Bird Tickets: Toward More Efficient Training of Deep Networks.
Proceedings of the 8th International Conference on Learning Representations, 2020

DNN-Chip Predictor: An Analytical Performance Predictor for DNN Accelerators with Various Dataflows and Hardware Architectures.
Proceedings of the 2020 IEEE International Conference on Acoustics, 2020

AutoDNNchip: An Automated DNN Chip Predictor and Builder for Both FPGAs and ASICs.
Proceedings of the FPGA '20: The 2020 ACM/SIGDA International Symposium on Field-Programmable Gate Arrays, 2020

HALO: Hardware-Aware Learning to Optimize.
Proceedings of the Computer Vision - ECCV 2020, 2020

2019
Drawing early-bird tickets: Towards more efficient training of deep networks.
CoRR, 2019


  Loading...