Yoongu Kim

According to our database1, Yoongu Kim authored at least 28 papers between 2004 and 2022.

Collaborative distances:

Timeline

Legend:

Book 
In proceedings 
Article 
PhD thesis 
Dataset
Other 

Links

On csauthors.net:

Bibliography

2022
Half-Double: Hammering From the Next Row Over.
Proceedings of the 31st USENIX Security Symposium, 2022

2018
Predictable Performance and Fairness Through Accurate Slowdown Estimation in Shared Main Memory Systems.
CoRR, 2018

RowClone: Accelerating Data Movement and Initialization Using DRAM.
CoRR, 2018

Tiered-Latency DRAM: Enabling Low-Latency Main Memory at Low Cost.
CoRR, 2018

Adaptive-Latency DRAM: Reducing DRAM Latency by Exploiting Timing Margins.
CoRR, 2018

Exploiting the DRAM Microarchitecture to Increase Memory-Level Parallelism.
CoRR, 2018

Reducing DRAM Refresh Overheads with Refresh-Access Parallelism.
CoRR, 2018

2017
Improving DRAM Performance by Parallelizing Refreshes with Accesses.
CoRR, 2017

2016
Tiered-Latency DRAM (TL-DRAM).
CoRR, 2016

Adaptive-Latency DRAM (AL-DRAM).
CoRR, 2016

RowHammer: Reliability Analysis and Security Implications.
CoRR, 2016

Reducing Performance Impact of DRAM Refresh by Parallelizing Refreshes with Accesses.
CoRR, 2016

Ramulator: A Fast and Extensible DRAM Simulator.
IEEE Comput. Archit. Lett., 2016

2015
Adaptive-latency DRAM: Optimizing DRAM timing for the common-case.
Proceedings of the 21st IEEE International Symposium on High Performance Computer Architecture, 2015

2014
The efficacy of error mitigation techniques for DRAM retention failures: a comparative experimental study.
Proceedings of the ACM SIGMETRICS / International Conference on Measurement and Modeling of Computer Systems, 2014

Flipping bits in memory without accessing them: An experimental study of DRAM disturbance errors.
Proceedings of the ACM/IEEE 41st International Symposium on Computer Architecture, 2014

Improving DRAM performance by parallelizing refreshes with accesses.
Proceedings of the 20th IEEE International Symposium on High Performance Computer Architecture, 2014

Memory Systems.
Proceedings of the Computing Handbook, 2014

2013
RowClone: fast and energy-efficient in-DRAM bulk data copy and initialization.
Proceedings of the 46th Annual IEEE/ACM International Symposium on Microarchitecture, 2013

Linearly compressed pages: a low-complexity, low-latency main memory compression framework.
Proceedings of the 46th Annual IEEE/ACM International Symposium on Microarchitecture, 2013

An experimental study of data retention behavior in modern DRAM devices: implications for retention time profiling mechanisms.
Proceedings of the 40th Annual International Symposium on Computer Architecture, 2013

MISE: Providing performance predictability and improving fairness in shared main memory systems.
Proceedings of the 19th IEEE International Symposium on High Performance Computer Architecture, 2013

Tiered-latency DRAM: A low latency and low cost DRAM architecture.
Proceedings of the 19th IEEE International Symposium on High Performance Computer Architecture, 2013

2012
A case for exploiting subarray-level parallelism (SALP) in DRAM.
Proceedings of the 39th International Symposium on Computer Architecture (ISCA 2012), 2012

2011
Thread Cluster Memory Scheduling.
IEEE Micro, 2011

2010
Thread Cluster Memory Scheduling: Exploiting Differences in Memory Access Behavior.
Proceedings of the 43rd Annual IEEE/ACM International Symposium on Microarchitecture, 2010

ATLAS: A scalable and high-performance scheduling algorithm for multiple memory controllers.
Proceedings of the 16th International Conference on High-Performance Computer Architecture (HPCA-16 2010), 2010

2004
S-COI : The Secure Conflicts of Interest Model for Multilevel Secure Database Systems.
Proceedings of the Database Systems for Advances Applications, 2004


  Loading...