Alaa R. Alameldeen

According to our database1, Alaa R. Alameldeen authored at least 42 papers between 2000 and 2022.

Collaborative distances:

Timeline

Legend:

Book 
In proceedings 
Article 
PhD thesis 
Dataset
Other 

Links

On csauthors.net:

Bibliography

2022
Guest Editors' Introduction: Near-Memory and In-Memory Processing.
IEEE Des. Test, 2022

2021
Improving Streaming Graph Processing Performance using Input Knowledge.
Proceedings of the MICRO '21: 54th Annual IEEE/ACM International Symposium on Microarchitecture, 2021

Speculative interference attacks: breaking invisible speculation schemes.
Proceedings of the ASPLOS '21: 26th ACM International Conference on Architectural Support for Programming Languages and Operating Systems, 2021

2020
SAGA-Bench: Software and Hardware Characterization of Streaming Graph Analytics Workloads.
Proceedings of the IEEE International Symposium on Performance Analysis of Systems and Software, 2020

Compact Leakage-Free Support for Integrity and Reliability.
Proceedings of the 47th ACM/IEEE Annual International Symposium on Computer Architecture, 2020

2019
A Case For Asymmetric Processing in Memory.
IEEE Comput. Archit. Lett., 2019

ZCOMP: Reducing DNN Cross-Layer Memory Footprint Using Vector Extensions.
Proceedings of the 52nd Annual IEEE/ACM International Symposium on Microarchitecture, 2019

2018
Reducing DRAM Refresh Overheads with Refresh-Access Parallelism.
CoRR, 2018

CompressPoints: An Evaluation Methodology for Compressed Memory Systems.
IEEE Comput. Archit. Lett., 2018

CHAMELEON: A Dynamically Reconfigurable Heterogeneous Memory System.
Proceedings of the 51st Annual IEEE/ACM International Symposium on Microarchitecture, 2018

Compresso: Pragmatic Main Memory Compression.
Proceedings of the 51st Annual IEEE/ACM International Symposium on Microarchitecture, 2018

Opportunistic compression for direct-mapped DRAM caches.
Proceedings of the International Symposium on Memory Systems, 2018

2017
Improving DRAM Performance by Parallelizing Refreshes with Accesses.
CoRR, 2017

A Case for Memory Content-Based Detection and Mitigation of Data-Dependent Failures in DRAM.
IEEE Comput. Archit. Lett., 2017

Detecting and mitigating data-dependent DRAM failures by exploiting current memory content.
Proceedings of the 50th Annual IEEE/ACM International Symposium on Microarchitecture, 2017

Probabilistic replacement strategies for improving the lifetimes of NVM-based caches.
Proceedings of the International Symposium on Memory Systems, 2017

2016
Reducing Performance Impact of DRAM Refresh by Parallelizing Refreshes with Accesses.
CoRR, 2016

Base-Victim Compression: An Opportunistic Cache Compression Architecture.
Proceedings of the 43rd ACM/IEEE Annual International Symposium on Computer Architecture, 2016

2014
The efficacy of error mitigation techniques for DRAM retention failures: a comparative experimental study.
Proceedings of the ACM SIGMETRICS / International Conference on Measurement and Modeling of Computer Systems, 2014

Transparent Hardware Management of Stacked DRAM as Part of Memory.
Proceedings of the 47th Annual IEEE/ACM International Symposium on Microarchitecture, 2014

Improving cache performance using read-write partitioning.
Proceedings of the 20th IEEE International Symposium on High Performance Computer Architecture, 2014

Improving DRAM performance by parallelizing refreshes with accesses.
Proceedings of the 20th IEEE International Symposium on High Performance Computer Architecture, 2014

2013
Improving multi-core performance using mixed-cell cache architecture.
Proceedings of the 19th IEEE International Symposium on High Performance Computer Architecture, 2013

2012
Redundancy and ECC mechanisms to improve energy efficiency of on-die interconnects.
Proceedings of the International Conference on Energy Aware Computing, 2012

2011
Adaptive Cache Design to Enable Reliable Low-Voltage Operation.
IEEE Trans. Computers, 2011

Guest Editor's Introduction.
J. Instr. Level Parallelism, 2011

Energy-efficient cache design using variable-strength error-correcting codes.
Proceedings of the 38th International Symposium on Computer Architecture (ISCA 2011), 2011

2010
Reducing cache power with low-cost, multi-bit error-correcting codes.
Proceedings of the 37th International Symposium on Computer Architecture (ISCA 2010), 2010

2009
Impact of Die-to-Die and Within-Die Parameter Variations on the Clock Frequency and Throughput of Multi-Core Processors.
IEEE Trans. Very Large Scale Integr. Syst., 2009

Trading Off Cache Capacity for Low-Voltage Operation.
IEEE Micro, 2009

Improving cache lifetime reliability at ultra-low voltages.
Proceedings of the 42st Annual IEEE/ACM International Symposium on Microarchitecture (MICRO-42 2009), 2009

2008
Trading off Cache Capacity for Reliability to Enable Low Voltage Operation.
Proceedings of the 35th International Symposium on Computer Architecture (ISCA 2008), 2008

2007
Impact of die-to-die and within-die parameter variations on the throughput distribution of multi-core processors.
Proceedings of the 2007 International Symposium on Low Power Electronics and Design, 2007

Interactions Between Compression and Prefetching in Chip Multiprocessors.
Proceedings of the 13st International Conference on High-Performance Computer Architecture (HPCA-13 2007), 2007

2006
IPC Considered Harmful for Multiprocessor Workloads.
IEEE Micro, 2006

2005
Multifacet's general execution-driven multiprocessor simulator (GEMS) toolset.
SIGARCH Comput. Archit. News, 2005

2004
Adaptive Cache Compression for High-Performance Processors.
Proceedings of the 31st International Symposium on Computer Architecture (ISCA 2004), 2004

2003
Addressing Workload Variability in Architectural Simulations.
IEEE Micro, 2003

Simulating a $2M Commercial Server on a $2K PC.
Computer, 2003

Variability in Architectural Simulations of Multi-Threaded Workloads.
Proceedings of the Ninth International Symposium on High-Performance Computer Architecture (HPCA'03), 2003

2001
Estimating the Selectivity of XML Path Expressions for Internet Scale Applications.
Proceedings of the VLDB 2001, 2001

2000
Timestamp snooping: an approach for extending SMPs.
Proceedings of the ASPLOS-IX Proceedings of the 9th International Conference on Architectural Support for Programming Languages and Operating Systems, 2000


  Loading...