Aditya Japa

Orcid: 0000-0003-2408-5400

According to our database1, Aditya Japa authored at least 14 papers between 2016 and 2023.

Collaborative distances:
  • Dijkstra number2 of five.
  • Erdős number3 of four.

Timeline

Legend:

Book 
In proceedings 
Article 
PhD thesis 
Dataset
Other 

Links

On csauthors.net:

Bibliography

2023
Negative capacitance FET based energy efficient and DPA attack resilient ultra-light weight block cipher design.
Microelectron. J., March, 2023

Processor based Intrinsic PUF Design for Approximate Computing: Faith or Reality?
Proceedings of the Asian Hardware Oriented Security and Trust Symposium, 2023

2022
Negative capacitance FETs for energy efficient and hardware secure logic designs.
Microelectron. J., 2022

A Reconfigurable Arbiter PUF Based on VGSOT MTJ.
Proceedings of the VLSI Design and Test - 26th International Symposium, 2022

2021
Tunnel FET-based ultra-lightweight reconfigurable TRNG and PUF design for resource-constrained internet of things.
Int. J. Circuit Theory Appl., 2021

Steep Switching NCFET based Logic for Future Energy Efficient Electronics.
Proceedings of the IEEE International Symposium on Smart Electronic Systems, 2021

2020
Tunnel FET-based ultralow-power and hardware-secure circuit design considering p-i-n forward leakage.
Int. J. Circuit Theory Appl., 2020

Low area overhead DPA countermeasure exploiting tunnel transistor-based random number generator.
IET Circuits Devices Syst., 2020

A Low Voltage Discriminant Circuit for Pattern Recognition Exploiting the Asymmetrical Characteristics of Tunnel FET.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2020

2019
Tunnel FET ambipolarity-based energy efficient and robust true random number generator against reverse engineering attacks.
IET Circuits Devices Syst., 2019

2018
Tunneling Field Effect Transistors for Enhancing Energy Efficiency and Hardware Security of IoT Platforms: Challenges and Opportunities.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2018

2017
Exploiting Characteristics of Steep Slope Tunnel Transistors Towards Energy Efficient and Reliable Buffer Designs for IoT SoCs.
Proceedings of the VLSI Design and Test - 21st International Symposium, 2017

Tunneling Field Effect Transistors for Energy Efficient Logic, Sensor Interface and 3D IC Circuits for IoT Platforms.
Proceedings of the IEEE International Symposium on Nanoelectronic and Information Systems, 2017

2016
Reliability enhancement of a steep slope tunnel transistor based ring oscillator designs with circuit interaction.
IET Circuits Devices Syst., 2016


  Loading...