Marcello Traiola

Orcid: 0000-0003-1484-5162

According to our database1, Marcello Traiola authored at least 43 papers between 2016 and 2023.

Collaborative distances:

Timeline

Legend:

Book 
In proceedings 
Article 
PhD thesis 
Dataset
Other 

Links

Online presence:

On csauthors.net:

Bibliography

2023
A Survey on Deep Learning Resilience Assessment Methodologies.
Computer, February, 2023

Towards Dependable RISC-V Cores for Edge Computing Devices.
Proceedings of the 29th International Symposium on On-Line Testing and Robust System Design, 2023

harDNNing: a machine-learning-based framework for fault tolerance assessment and protection of DNNs.
Proceedings of the IEEE European Test Symposium, 2023

Impact of Transient Faults on Timing Behavior and Mitigation with Near-Zero WCET Overhead.
Proceedings of the 35th Euromicro Conference on Real-Time Systems, 2023

Input-aware accuracy characterization for approximate circuits.
Proceedings of the 53rd Annual IEEE/IFIP International Conference on Dependable Systems and Networks, 2023

Design with low complexity fine-grained Dual Core Lock-Step (DCLS) RISC-V processors.
Proceedings of the 53rd Annual IEEE/IFIP International Conference on Dependable Systems and Networks, 2023

A machine-learning-guided framework for fault-tolerant DNNs.
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2023

Exploiting assertions mining and fault analysis to guide RTL-level approximation.
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2023

2022
A Genetic-algorithm-based Approach to the Design of DCT Hardware Accelerators.
ACM J. Emerg. Technol. Comput. Syst., 2022

Assertion-aware approximate computing design exploration on behavioral models.
Proceedings of the 23rd IEEE Latin American Test Symposium, 2022

Improving the Fault Resilience of Neural Network Applications Through Security Mechanisms.
Proceedings of the 52nd Annual IEEE/IFIP International Conference on Dependable Systems and Networks, 2022

Selective Hardening of Critical Neurons in Deep Neural Networks.
Proceedings of the 25th International Symposium on Design and Diagnostics of Electronic Circuits and Systems, 2022

A Design Space Exploration Framework for Memristor-Based Crossbar Architecture.
Proceedings of the 25th International Symposium on Design and Diagnostics of Electronic Circuits and Systems, 2022

Input-Aware Approximate Computing.
Proceedings of the IEEE International Conference on Automation, 2022

Test and Reliability of Approximate Hardware.
Proceedings of the Approximate Computing, 2022

2021
Investigating data representation for efficient and reliable Convolutional Neural Networks.
Microprocess. Microsystems, October, 2021

Towards the Integration of Reliability and Security Mechanisms to Enhance the Fault Resilience of Neural Networks.
IEEE Access, 2021

Multi-Objective Application-Driven Approximate Design Method.
IEEE Access, 2021

Pros and Cons of Fault Injection Approaches for the Reliability Assessment of Deep Neural Networks.
Proceedings of the 22nd IEEE Latin American Test Symposium, 2021

Reducing Overprovision of Triple Modular Reduncancy Owing to Approximate Computing.
Proceedings of the 27th IEEE International Symposium on On-Line Testing and Robust System Design, 2021

Design Space Exploration of Approximation-Based Quadruple Modular Redundancy Circuits.
Proceedings of the IEEE/ACM International Conference On Computer Aided Design, 2021

Emerging Computing Devices: Challenges and Opportunities for Test and Reliability<sup>*</sup>.
Proceedings of the 26th IEEE European Test Symposium, 2021

Efficient Neural Network Approximation via Bayesian Reasoning.
Proceedings of the 24th International Symposium on Design and Diagnostics of Electronic Circuits & Systems, 2021

Emerging Technologies: Challenges and Opportunities for Logic Synthesis.
Proceedings of the 24th International Symposium on Design and Diagnostics of Electronic Circuits & Systems, 2021

2020
A Survey of Testing Techniques for Approximate Integrated Circuits.
Proc. IEEE, 2020

Evaluating the Code Encryption Effects on Memory Fault Resilience.
Proceedings of the IEEE Latin-American Test Symposium, 2020

QAMR: an Approximation-Based Fully Reliable TMR Alternative for Area Overhead Reduction.
Proceedings of the IEEE European Test Symposium, 2020

Design, Verification, Test and In-Field Implications of Approximate Computing Systems.
Proceedings of the IEEE European Test Symposium, 2020

Evaluating Data Encryption Effects on the Resilience of an Artificial Neural Network.
Proceedings of the IEEE International Symposium on Defect and Fault Tolerance in VLSI and Nanotechnology Systems, 2020

Maximizing Yield for Approximate Integrated Circuits.
Proceedings of the 2020 Design, Automation & Test in Europe Conference & Exhibition, 2020

2018
Estimating dynamic power consumption for memristor-based CiM architecture.
Microelectron. Reliab., 2018

Test and Reliability in Approximate Computing.
J. Electron. Test., 2018

Special session: How approximate computing impacts verification, test and reliability.
Proceedings of the 36th IEEE VLSI Test Symposium, 2018

Testing approximate digital circuits: Challenges and opportunities.
Proceedings of the 19th IEEE Latin-American Test Symposium, 2018

Predicting the Impact of Functional Approximation: from Component- to Application-Level.
Proceedings of the 24th IEEE International Symposium on On-Line Testing And Robust System Design, 2018

Investigation of Mean-Error Metrics for Testing Approximate Integrated Circuits.
Proceedings of the 2018 IEEE International Symposium on Defect and Fault Tolerance in VLSI and Nanotechnology Systems, 2018

On the Comparison of Different ATPG Approaches for Approximate Integrated Circuits.
Proceedings of the 21st IEEE International Symposium on Design and Diagnostics of Electronic Circuits & Systems, 2018

Synthesis of Finite State Machines on Memristor Crossbars.
Proceedings of the 21st IEEE International Symposium on Design and Diagnostics of Electronic Circuits & Systems, 2018

2017
Towards digital circuit approximation by exploiting fault simulation.
Proceedings of the 2017 IEEE East-West Design & Test Symposium, 2017

Memristive devices: Technology, design automation and computing frontiers.
Proceedings of the 12th International Conference on Design & Technology of Integrated Systems In Nanoscale Era, 2017

Towards approximation during test of Integrated Circuits.
Proceedings of the 20th IEEE International Symposium on Design and Diagnostics of Electronic Circuits & Systems, 2017

Formal Design Space Exploration for memristor-based crossbar architecture.
Proceedings of the 20th IEEE International Symposium on Design and Diagnostics of Electronic Circuits & Systems, 2017

2016
XbarGen: A memristor based boolean logic synthesis tool.
Proceedings of the 2016 IFIP/IEEE International Conference on Very Large Scale Integration, 2016


  Loading...