Aleksandar Ignjatovic

Orcid: 0000-0001-7427-4934

Affiliations:
  • University of New South Wales, Sydney, Australia


According to our database1, Aleksandar Ignjatovic authored at least 89 papers between 1993 and 2022.

Collaborative distances:

Timeline

Legend:

Book 
In proceedings 
Article 
PhD thesis 
Dataset
Other 

Links

Online presence:

On csauthors.net:

Bibliography

2022
A Trust-Based Experience-Aware Framework for Integrating Fuzzy Recommendations.
IEEE Trans. Serv. Comput., 2022

A Power to Pulse Width Modulation Sensor for Remote Power Analysis Attacks.
IACR Trans. Cryptogr. Hardw. Embed. Syst., 2022

VITI: A Tiny Self-Calibrating Sensor for Power-Variation Measurement in FPGAs.
IACR Trans. Cryptogr. Hardw. Embed. Syst., 2022

Iterative Filtering Algorithms for Computing Consensus Analyst Estimates.
Proceedings of the IEEE Symposium on Computational Intelligence for Financial Engineering and Economics, 2022

2021
QuadSeal: Quadruple Balancing to Mitigate Power Analysis Attacks with Variability Effects and Electromagnetic Fault Injection Attacks.
ACM Trans. Design Autom. Electr. Syst., 2021

Trust-Based Blockchain Authorization for IoT.
IEEE Trans. Netw. Serv. Manag., 2021

Simeon - Secure Federated Machine Learning Through Iterative Filtering.
CoRR, 2021

UCloD: Small Clock Delays to Mitigate Remote Power Analysis Attacks.
IEEE Access, 2021

Acquisition of High Bandwidth Signals by Sampling an Analog Chromatic Derivatives Filterbank.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2021

2020
Hardware Trojan Mitigation in Pipelined MPSoCs.
ACM Trans. Design Autom. Electr. Syst., 2020

An Adaptive Denoising Algorithm for Improving Frequency Estimation and Tracking.
IEEE Trans. Circuits Syst. II Express Briefs, 2020

REALM: Reduced-Error Approximate Log-based Integer Multiplier.
Proceedings of the 2020 Design, Automation & Test in Europe Conference & Exhibition, 2020

WEID: Worst-case Error Improvement in Approximate Dividers.
Proceedings of the 25th Asia and South Pacific Design Automation Conference, 2020

2019
Fair Scheduling for Data Collection in Mobile Sensor Networks with Energy Harvesting.
IEEE Trans. Mob. Comput., 2019

On reconstruction of bandlimited signals from purely timing information.
Signal Process., 2019

Analyzing XACML policies using answer set programming.
Int. J. Inf. Sec., 2019

Pairwise alignment of nucleotide sequences using maximal exact matches.
BMC Bioinform., 2019

SCRIP: Secure Random Clock Execution on Soft Processor Systems to Mitigate Power-based Side Channel Attacks.
Proceedings of the International Conference on Computer-Aided Design, 2019

Hardware Trojan Detection and Recovery in MPSoCs via On-line Application Specific Testing.
Proceedings of the 22nd IEEE International Symposium on Design and Diagnostics of Electronic Circuits & Systems, 2019

RFTC: Runtime Frequency Tuning Countermeasure Using FPGA Dynamic Reconfiguration to Mitigate Power Analysis Attacks.
Proceedings of the 56th Annual Design Automation Conference 2019, 2019

2018
Chromatic Derivatives and Approximations in Practice - Part II: Nonuniform Sampling, Zero-Crossings Reconstruction, and Denoising.
IEEE Trans. Signal Process., 2018

Chromatic Derivatives and Approximations in Practice - Part I: A General Framework.
IEEE Trans. Signal Process., 2018

A Provenance-Aware Multi-dimensional Reputation System for Online Rating Systems.
ACM Trans. Internet Techn., 2018

Signal recovery algorithm for 2-level amplitude sampling using chromatic signal approximations.
Signal Process., 2018

2017
Improved VCF normalization for accurate VCF comparison.
Bioinform., 2017

NORA: Algorithmic Balancing without Pre-charge to Thwart Power Analysis Attacks.
Proceedings of the 30th International Conference on VLSI Design and 16th International Conference on Embedded Systems, 2017

TrojanGuard: Simple and Effective Hardware Trojan Mitigation Techniques for Pipelined MPSoCs.
Proceedings of the 54th Annual Design Automation Conference, 2017

Trust and Privacy Challenges in Social Participatory Networks.
Proceedings of the Service Research and Innovation - 5th and 6th Australasian Symposium, 2017

DoSGuard: Protecting pipelined MPSoCs against hardware Trojan based DoS attacks.
Proceedings of the 28th IEEE International Conference on Application-specific Systems, 2017

2016
Asymptotic behaviour of some families of orthonormal polynomials and an associated Hilbert space.
J. Approx. Theory, 2016

Anomaly-free policy composition in software-defined networks.
Proceedings of the 2016 IFIP Networking Conference, 2016

Does it sound as it claims: a detailed side-channel security analysis of QuadSeal countermeasure.
Proceedings of the ACM International Conference on Computing Frontiers, CF'16, 2016

2015
Robust evaluation of products and reviewers in social rating systems.
World Wide Web, 2015

An Iterative Method for Calculating Robust Rating Scores.
IEEE Trans. Parallel Distributed Syst., 2015

Interdependent Security Risk Analysis of Hosts and Flows.
IEEE Trans. Inf. Forensics Secur., 2015

Secure Data Aggregation Technique for Wireless Sensor Networks in the Presence of Collusion Attacks.
IEEE Trans. Dependable Secur. Comput., 2015

CSI-MIMO: An efficient Wi-Fi fingerprinting using Channel State Information with MIMO.
Pervasive Mob. Comput., 2015

An Iterative Algorithm for Reputation Aggregation in Multi-dimensional and Multinomial Rating Systems.
Proceedings of the ICT Systems Security and Privacy Protection, 2015

A trust assessment framework for streaming data in WSNs using iterative filtering.
Proceedings of the Tenth IEEE International Conference on Intelligent Sensors, 2015

ARCHER: Communication-based predictive architecture selection for application specific multiprocessor Systems-on-Chip.
Proceedings of the 2015 IEEE International Symposium on Circuits and Systems, 2015

A Collaborative Reputation System Based on Credibility Propagation in WSNs.
Proceedings of the 21st IEEE International Conference on Parallel and Distributed Systems, 2015

QuadSeal: Quadruple algorithmic symmetrizing countermeasure against power based side-channel attacks.
Proceedings of the 2015 International Conference on Compilers, 2015

2014
Performance Estimation of Pipelined MultiProcessor System-on-Chips (MPSoCs).
IEEE Trans. Parallel Distributed Syst., 2014

Representation and querying of unfair evaluations in social rating systems.
Comput. Secur., 2014

Provenance-aware security risk analysis for hosts and network flows.
Proceedings of the 2014 IEEE Network Operations and Management Symposium, 2014

CSI-MIMO: Indoor Wi-Fi fingerprinting system.
Proceedings of the IEEE 39th Conference on Local Computer Networks, 2014

Advanced modes in AES: Are they safe from power analysis based side channel attacks?
Proceedings of the 32nd IEEE International Conference on Computer Design, 2014

κ-FSOM: Fair Link Scheduling Optimization for Energy-Aware Data Collection in Mobile Sensor Networks.
Proceedings of the Wireless Sensor Networks - 11th European Conference, 2014

Trajectory Approximation for Resource Constrained Mobile Sensor Networks.
Proceedings of the IEEE International Conference on Distributed Computing in Sensor Systems, 2014

2013
Efficient Computation of Robust Average of Compressive Sensing Data in Wireless Sensor Networks in the Presence of Sensor Faults.
IEEE Trans. Parallel Distributed Syst., 2013

Quality Control in Crowdsourcing Systems: Issues and Directions.
IEEE Internet Comput., 2013

A robust iterative filtering technique for wireless sensor networks in the presence of malicious attacks.
Proceedings of the 11th ACM Conference on Embedded Network Sensor Systems, 2013

A case study on exploration of last-level cache for energy reduction in DDR3 DRAM.
Proceedings of the 2nd Mediterranean Conference on Embedded Computing, 2013

A novel intermittent fault Markov model for deep sub-micron processors.
Proceedings of the Great Lakes Symposium on VLSI 2013 (part of ECRC), 2013

Iterative Security Risk Analysis for Network Flows Based on Provenance and Interdependency.
Proceedings of the IEEE International Conference on Distributed Computing in Sensor Systems, 2013

Collusion Detection in Online Rating Systems.
Proceedings of the Web Technologies and Applications - 15th Asia-Pacific Web Conference, 2013

2012
An Analytic Approach to People Evaluation in Crowdsourcing Systems
CoRR, 2012

Detecting, Representing and Querying Collusion in Online Rating Systems
CoRR, 2012

Rating through Voting: An Iterative Method for Robust Rating
CoRR, 2012

CoRaS: A multiprocessor key corruption and random round swapping for power analysis side channel attacks: A DES case study.
Proceedings of the 2012 IEEE International Symposium on Circuits and Systems, 2012

Reputation management in crowdsourcing systems.
Proceedings of the 8th International Conference on Collaborative Computing: Networking, 2012

2011
Multiprocessor information concealment architecture to prevent power analysis-based side channel attacks.
IET Comput. Digit. Tech., 2011

2010
Rapid Design Space Exploration of Application Specific Heterogeneous Pipelined Multiprocessor Systems.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2010

Fidelity metrics for estimation models.
Proceedings of the 2010 International Conference on Computer-Aided Design, 2010

Dueling CLOCK: Adaptive cache replacement policy based on the CLOCK algorithm.
Proceedings of the Design, Automation and Test in Europe, 2010

Optimal synthesis of latency and throughput constrained pipelined MPSoCs targeting streaming applications.
Proceedings of the 8th International Conference on Hardware/Software Codesign and System Synthesis, 2010

2009
Chromatic derivatives and local approximations.
IEEE Trans. Signal Process., 2009

HMP-ASIPs: heterogeneous multi-pipeline application-specific instruction-set processors.
IET Comput. Digit. Tech., 2009

Model for Voter Scoring and Best Answer Selection in Community Q&A Services.
Proceedings of the 2009 IEEE/WIC/ACM International Conference on Web Intelligence, 2009

Measuring system performance and topic discernment using generalized adaptive-weight mean.
Proceedings of the 18th ACM Conference on Information and Knowledge Management, 2009

HitME: low power Hit MEmory buffer for embedded systems.
Proceedings of the 14th Asia South Pacific Design Automation Conference, 2009

2008
An Analytic Approach to Reputation Ranking of Participants in Online Transactions.
Proceedings of the 2008 IEEE / WIC / ACM International Conference on Web Intelligence, 2008

Anatomy of Differential Power Analysis for AES.
Proceedings of the SYNASC 2008, 2008

MUTE-AES: a multiprocessor architecture to prevent power analysis based side channel attack of the AES algorithm.
Proceedings of the 2008 International Conference on Computer-Aided Design, 2008

2007
Instruction trace compression for rapid instruction cache simulation.
Proceedings of the 2007 Design, Automation and Test in Europe Conference and Exposition, 2007

2006
Exploiting statistical information for implementation of instruction scratchpad memory in embedded system.
IEEE Trans. Very Large Scale Integr. Syst., 2006

Application specific forwarding network and instruction encoding for multi-pipe ASIPs.
Proceedings of the 4th International Conference on Hardware/Software Codesign and System Synthesis, 2006

Finding optimal L1 cache configuration for embedded systems.
Proceedings of the 2006 Conference on Asia South Pacific Design Automation: ASP-DAC 2006, 2006

A novel instruction scratchpad memory optimization method based on concomitance metric.
Proceedings of the 2006 Conference on Asia South Pacific Design Automation: ASP-DAC 2006, 2006

2005
On mathematical instrumentalism.
J. Symb. Log., 2005

2004
Some applications of logic to feasibility in higher types.
ACM Trans. Comput. Log., 2004

Hardware/software managed scratchpad memory for embedded system.
Proceedings of the 2004 International Conference on Computer-Aided Design, 2004

2003
Characterizing polynomial time computable functions using theories with weak set existence principles.
Proceedings of the Computing: the Australasian Theory Symposiumm, 2003

2002
Chromatic derivative filter banks.
IEEE Signal Process. Lett., 2002

A Note on Induction Schemas in Bounded Arithmetic
CoRR, 2002

1995
Delineating Classes of Computational Complexity via Second Order Theories with Weak Set Existence Principles, I.
J. Symb. Log., 1995

Unprovability of Consistency Statements in Fragments of Bounded Arithmetic.
Ann. Pure Appl. Log., 1995

1994
Hilbert's Program and the Omega-Rule.
J. Symb. Log., 1994

1993
Parallel computable higher type functionals (Extended Abstract)
Proceedings of the 34th Annual Symposium on Foundations of Computer Science, 1993


  Loading...