Anil Kanduri

Orcid: 0000-0003-3188-8703

According to our database1, Anil Kanduri authored at least 29 papers between 2014 and 2024.

Collaborative distances:
  • Dijkstra number2 of four.
  • Erdős number3 of four.

Timeline

Legend:

Book 
In proceedings 
Article 
PhD thesis 
Dataset
Other 

Links

On csauthors.net:

Bibliography

2024
Characterizing Accuracy Trade-offs of EEG Applications on Embedded HMPs.
CoRR, 2024

2023
DynaFuse: Dynamic Fusion for Resource Efficient Multimodal Machine Learning Inference.
IEEE Embed. Syst. Lett., December, 2023

Adaptive Workload Distribution for Accuracy-aware DNN Inference on Collaborative Edge Platforms.
CoRR, 2023

2022
Online Learning for Orchestration of Inference in Multi-user End-edge-cloud Networks.
ACM Trans. Embed. Comput. Syst., November, 2022

Concurrent Application Bias Scheduling for Energy Efficiency of Heterogeneous Multi-Core Platforms.
IEEE Trans. Computers, 2022

Edge-centric Optimization of Multi-modal ML-driven eHealth Applications.
CoRR, 2022

Hybrid Learning for Orchestrating Deep Learning Inference in Multi-user Edge-cloud Networks.
Proceedings of the 23rd International Symposium on Quality Electronic Design, 2022

AMSER: Adaptive Multimodal Sensing for Energy Efficient and Resilient eHealth Systems.
Proceedings of the 2022 Design, Automation & Test in Europe Conference & Exhibition, 2022

2021
UBAR: User- and Battery-aware Resource Management for Smartphones.
ACM Trans. Embed. Comput. Syst., 2021

AMSER: Adaptive Multi-modal Sensing for Energy Efficient and Resilient eHealth Systems.
CoRR, 2021

Approximate Feature Extraction for Low Power Epileptic Seizure Prediction in Wearable Devices.
Proceedings of the IEEE Nordic Circuits and Systems Conference, NorCAS 2021, Oslo, 2021

Energy-Performance Co-Management of Mixed-Sensitivity Workloads on Heterogeneous Multi-core Systems.
Proceedings of the ASPDAC '21: 26th Asia and South Pacific Design Automation Conference, 2021

Towards Smart and Efficient Health Monitoring Using Edge-enabled Situational-awareness.
Proceedings of the 3rd IEEE International Conference on Artificial Intelligence Circuits and Systems, 2021

2020
User-centric Resource Management for Embedded Multi-core Processors.
Proceedings of the 33rd International Conference on VLSI Design and 19th International Conference on Embedded Systems, 2020

2019
On-Chip Dynamic Resource Management.
Found. Trends Electron. Des. Autom., 2019

End-to-End Approximation for Characterizing Energy Efficiency of IoT Applications.
Proceedings of the 2019 IEEE Nordic Circuits and Systems Conference, 2019

Goal-Driven Autonomy for Efficient On-chip Resource Management: Transforming Objectives to Goals.
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2019

2018
adBoost: Thermal Aware Performance Boosting Through Dark Silicon Patterning.
IEEE Trans. Computers, 2018

Goal Formulation: Abstracting Dynamic Objectives for Efficient On-chip Resource Allocation.
Proceedings of the 2018 IEEE Nordic Circuits and Systems Conference, 2018

Approximation for Run-time Power Management.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2018

Trends in On-chip Dynamic Resource Management.
Proceedings of the 21st Euromicro Conference on Digital System Design, 2018

Approximation-aware coordinated power/performance management for heterogeneous multi-cores.
Proceedings of the 55th Annual Design Automation Conference, 2018

2017
Accuracy-Aware Power Management for Many-Core Systems Running Error-Resilient Applications.
IEEE Trans. Very Large Scale Integr. Syst., 2017

2016
Approximation knob: power capping meets energy efficiency.
Proceedings of the 35th International Conference on Computer-Aided Design, 2016

2015
MapPro: Proactive Runtime Mapping for Dynamic Workloads by Quantifying Ripple Effect of Applications on Networks-on-Chip.
Proceedings of the 9th International Symposium on Networks-on-Chip, 2015

Predictable Application Mapping for Manycore Real-Time and Cyber-Physical Systems.
Proceedings of the IEEE 9th International Symposium on Embedded Multicore/Many-core Systems-on-Chip, 2015

Dynamic power management for many-core platforms in the dark silicon era: A multi-objective control approach.
Proceedings of the IEEE/ACM International Symposium on Low Power Electronics and Design, 2015

Dark silicon aware runtime mapping for many-core systems: A patterning approach.
Proceedings of the 33rd IEEE International Conference on Computer Design, 2015

2014
Mixed-Criticality Run-Time Task Mapping for NoC-Based Many-Core Systems.
Proceedings of the 22nd Euromicro International Conference on Parallel, 2014


  Loading...