Pasi Liljeberg

Orcid: 0000-0002-9392-3589

Affiliations:
  • University of Turku, Finland


According to our database1, Pasi Liljeberg authored at least 241 papers between 2001 and 2024.

Collaborative distances:
  • Dijkstra number2 of four.
  • Erdős number3 of four.

Timeline

Legend:

Book 
In proceedings 
Article 
PhD thesis 
Dataset
Other 

Links

Online presence:

On csauthors.net:

Bibliography

2024
Personalized and adaptive neural networks for pain detection from multi-modal physiological features.
Expert Syst. Appl., January, 2024

Impact of Physical Activity on Quality of Life During Pregnancy: A Causal ML Approach.
CoRR, 2024

Characterizing Accuracy Trade-offs of EEG Applications on Embedded HMPs.
CoRR, 2024

2023
DynaFuse: Dynamic Fusion for Resource Efficient Multimodal Machine Learning Inference.
IEEE Embed. Syst. Lett., December, 2023

A Deep Learning-based PPG Quality Assessment Approach for Heart Rate and Heart Rate Variability.
ACM Trans. Comput. Heal., October, 2023

Quantifying Movement Behavior of Chronic Low Back Pain Patients in Virtual Reality.
ACM Trans. Comput. Heal., April, 2023

Adaptive Workload Distribution for Accuracy-aware DNN Inference on Collaborative Edge Platforms.
CoRR, 2023

Personalized Graph Attention Network for Multivariate Time-series Change Analysis: A Case Study on Long-term Maternal Monitoring.
Proceedings of the 38th ACM/SIGAPP Symposium on Applied Computing, 2023

Robust CNN-based Respiration Rate Estimation for Smartwatch PPG and IMU.
Proceedings of the 2023 10th International Conference on Bioinformatics Research and Applications, 2023

Can Sleep Quality Attributes be Predicted from Physical Activity in Everyday Settings?
Proceedings of the 45th Annual International Conference of the IEEE Engineering in Medicine & Biology Society, 2023

End-to-End PPG Processing Pipeline for Wearables: From Quality Assessment and Motion Artifacts Removal to HR/HRV Feature Extraction.
Proceedings of the IEEE International Conference on Bioinformatics and Biomedicine, 2023

2022
Concurrent Application Bias Scheduling for Energy Efficiency of Heterogeneous Multi-Core Platforms.
IEEE Trans. Computers, 2022

Robust PPG Peak Detection Using Dilated Convolutional Neural Networks.
Sensors, 2022

Confidence-Enhanced Early Warning Score Based on Fuzzy Logic.
Mob. Networks Appl., 2022

Exploring computation offloading in IoT systems.
Inf. Syst., 2022

Edge-centric Optimization of Multi-modal ML-driven eHealth Applications.
CoRR, 2022

PPG Signal Reconstruction Using Deep Convolutional Generative Adversarial Network.
Proceedings of the 44th Annual International Conference of the IEEE Engineering in Medicine & Biology Society, 2022

Personal Pain Sensitivity Prediction from Ultra-short-term Resting Heart Rate Variability.
Proceedings of the 44th Annual International Conference of the IEEE Engineering in Medicine & Biology Society, 2022

AMSER: Adaptive Multimodal Sensing for Energy Efficient and Resilient eHealth Systems.
Proceedings of the 2022 Design, Automation & Test in Europe Conference & Exhibition, 2022

2021
UBAR: User- and Battery-aware Resource Management for Smartphones.
ACM Trans. Embed. Comput. Syst., 2021

Long-Term IoT-Based Maternal Monitoring: System Design and Evaluation.
Sensors, 2021

AMSER: Adaptive Multi-modal Sensing for Energy Efficient and Resilient eHealth Systems.
CoRR, 2021

Approximate Feature Extraction for Low Power Epileptic Seizure Prediction in Wearable Devices.
Proceedings of the IEEE Nordic Circuits and Systems Conference, NorCAS 2021, Oslo, 2021

Energy-Performance Co-Management of Mixed-Sensitivity Workloads on Heterogeneous Multi-core Systems.
Proceedings of the ASPDAC '21: 26th Asia and South Pacific Design Automation Conference, 2021

Lightweight Photoplethysmography Quality Assessment for Real-time IoT-based Health Monitoring using Unsupervised Anomaly Detection.
Proceedings of the 12th International Conference on Ambient Systems, 2021

2020
Edge-Assisted Control for Healthcare Internet of Things: A Case Study on PPG-Based Early Warning Score.
ACM Trans. Internet Things, 2020

Context-Aware Sensing via Dynamic Programming for Edge-Assisted Wearable Systems.
ACM Trans. Comput. Heal., 2020

RoSA: A Framework for Modeling Self-Awareness in Cyber-Physical Systems.
IEEE Access, 2020

User-centric Resource Management for Embedded Multi-core Processors.
Proceedings of the 33rd International Conference on VLSI Design and 19th International Conference on Embedded Systems, 2020

Robust ECG R-peak detection using LSTM.
Proceedings of the SAC '20: The 35th ACM/SIGAPP Symposium on Applied Computing, online event, [Brno, Czech Republic], March 30, 2020

2019
Energy-Aware VM Consolidation in Cloud Data Centers Using Utilization Prediction Model.
IEEE Trans. Cloud Comput., 2019

Model-free condition monitoring with confidence.
Int. J. Comput. Integr. Manuf., 2019

On-Chip Dynamic Resource Management.
Found. Trends Electron. Des. Autom., 2019

Energy efficient fog-assisted IoT system for monitoring diabetic patients with cardiovascular disease.
Future Gener. Comput. Syst., 2019

Missing data resilient decision-making for healthcare IoT through personalization: A case study on maternal health.
Future Gener. Comput. Syst., 2019

Optimizing Energy Efficiency of Wearable Sensors Using Fog-assisted Control.
CoRR, 2019

Personalized Maternal Sleep Quality Assessment: An Objective IoT-based Longitudinal Study.
IEEE Access, 2019

End-to-End Approximation for Characterizing Energy Efficiency of IoT Applications.
Proceedings of the 2019 IEEE Nordic Circuits and Systems Conference, 2019

Dynamic Computation Migration at the Edge: Is There an Optimal Choice?
Proceedings of the 2019 on Great Lakes Symposium on VLSI, 2019

Skin Conductance Response to Gradual-Increasing Experimental Pain.
Proceedings of the 41st Annual International Conference of the IEEE Engineering in Medicine and Biology Society, 2019

Goal-Driven Autonomy for Efficient On-chip Resource Management: Transforming Objectives to Goals.
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2019

Analysis of Performance and Energy Consumption of Wearable Devices and Mobile Gateways in IoT Applications.
Proceedings of the International Conference on Omni-Layer Intelligent Systems, 2019

A Real-time PPG Quality Assessment Approach for Healthcare Internet-of-Things.
Proceedings of the 10th International Conference on Ambient Systems, Networks and Technologies (ANT 2019) / The 2nd International Conference on Emerging Data and Industry 4.0 (EDI40 2019) / Affiliated Workshops, April 29, 2019

Energy-efficient and Reliable Wearable Internet-of-Things through Fog-Assisted Dynamic Goal Management.
Proceedings of the 10th International Conference on Ambient Systems, Networks and Technologies (ANT 2019) / The 2nd International Conference on Emerging Data and Industry 4.0 (EDI40 2019) / Affiliated Workshops, April 29, 2019

2018
IoT-Based Remote Pain Monitoring System: From Device to Cloud Platform.
IEEE J. Biomed. Health Informatics, 2018

adBoost: Thermal Aware Performance Boosting Through Dark Silicon Patterning.
IEEE Trans. Computers, 2018

Energy efficient wearable sensor node for IoT-based fall detection systems.
Microprocess. Microsystems, 2018

Exploiting smart e-Health gateways at the edge of healthcare Internet-of-Things: A fog computing approach.
Future Gener. Comput. Syst., 2018

Fog Computing Approach for Mobility Support in Internet-of-Things Systems.
IEEE Access, 2018

Goal Formulation: Abstracting Dynamic Objectives for Efficient On-chip Resource Allocation.
Proceedings of the 2018 IEEE Nordic Circuits and Systems Conference, 2018

Hierarchical dynamic goal management for IoT systems.
Proceedings of the 19th International Symposium on Quality Electronic Design, 2018

Approximation for Run-time Power Management.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2018

Edge-Assisted Sensor Control in Healthcare IoT.
Proceedings of the IEEE Global Communications Conference, 2018

Trends in On-chip Dynamic Resource Management.
Proceedings of the 21st Euromicro Conference on Digital System Design, 2018

Approximation-aware coordinated power/performance management for heterogeneous multi-cores.
Proceedings of the 55th Annual Design Automation Conference, 2018

IoT-Based Healthcare System for Real-Time Maternal Stress Monitoring.
Proceedings of the Third IEEE/ACM International Conference on Connected Health: Applications, 2018

Empowering Healthcare IoT Systems with Hierarchical Edge-Based Deep Learning.
Proceedings of the Third IEEE/ACM International Conference on Connected Health: Applications, 2018

2017
Reliability-Aware Runtime Power Management for Many-Core Systems in the Dark Silicon Era.
IEEE Trans. Very Large Scale Integr. Syst., 2017

Accuracy-Aware Power Management for Many-Core Systems Running Error-Resilient Applications.
IEEE Trans. Very Large Scale Integr. Syst., 2017

HiCH: Hierarchical Fog-Assisted Computing Architecture for Healthcare IoT.
ACM Trans. Embed. Comput. Syst., 2017

Performance/Reliability-Aware Resource Management for Many-Cores in Dark Silicon Era.
IEEE Trans. Computers, 2017

Special issue on energy efficient multi-core and many-core systems, Part II.
J. Parallel Distributed Comput., 2017

Internet of things for remote elderly monitoring: a study from user-centered perspective.
J. Ambient Intell. Humaniz. Comput., 2017

Can Dark Silicon Be Exploited to Prolong System Lifetime?
IEEE Des. Test, 2017

Low-cost fog-assisted health-care IoT system with energy-efficient sensor nodes.
Proceedings of the 13th International Wireless Communications and Mobile Computing Conference, 2017

Low-latency hardware architecture for cipher-based message authentication code.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2017

Rethinking 'Things' - Fog Layer Interplay in IoT: A Mobile Code Approach.
Proceedings of the Research and Practical Issues of Enterprise Information Systems, 2017

Autonomous Patient/Home Health Monitoring Powered by Energy Harvesting.
Proceedings of the 2017 IEEE Global Communications Conference, 2017

Self-awareness in remote health monitoring systems using wearable electronics.
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2017

Smart energy efficient gateway for Internet of mobile things.
Proceedings of the 14th IEEE Annual Consumer Communications & Networking Conference, 2017

From threads to events: Adapting a lightweight middleware for Contiki OS.
Proceedings of the 14th IEEE Annual Consumer Communications & Networking Conference, 2017

Ultra-short-term analysis of heart rate variability for real-time acute pain monitoring with wearable electronics.
Proceedings of the 2017 IEEE International Conference on Bioinformatics and Biomedicine, 2017

DoS-IL: A Domain Specific Internet of Things Language for Resource Constrained Devices.
Proceedings of the 8th International Conference on Ambient Systems, 2017

IoT-based continuous glucose monitoring system: A feasibility study.
Proceedings of the 8th International Conference on Ambient Systems, 2017

2016
A Power-Aware Approach for Online Test Scheduling in Many-Core Architectures.
IEEE Trans. Computers, 2016

On the Feasibility of Attribute-Based Encryption on Internet of Things Devices.
IEEE Micro, 2016

Special issue on energy efficient multi-core and many-core systems, Part I.
J. Parallel Distributed Comput., 2016

LISA 2.0: lightweight internet of things service bus architecture using node centric networking.
J. Ambient Intell. Humaniz. Comput., 2016

IoT-based remote facial expression monitoring system with sEMG signal.
Proceedings of the IEEE Sensors Applications Symposium, 2016

IoT-based fall detection system with energy efficient sensor nodes.
Proceedings of the IEEE Nordic Circuits and Systems Conference, 2016

Energy-Efficient IoT-Enabled Fall Detection System with Messenger-Based Notification.
Proceedings of the Wireless Mobile Communication and Healthcare, 2016

Enhancing the Early Warning Score System Using Data Confidence.
Proceedings of the Wireless Mobile Communication and Healthcare, 2016

The Role of Self-Awareness and Hierarchical Agents in Resource Management for Many-Core Systems.
Proceedings of the 10th IEEE International Symposium on Embedded Multicore/Many-core Systems-on-Chip, 2016

Medical warning system based on Internet of Things using fog computing.
Proceedings of the International Workshop on Big Data and Information Security, 2016

Approximation knob: power capping meets energy efficiency.
Proceedings of the 35th International Conference on Computer-Aided Design, 2016

Self-aware Early Warning Score System for IoT-Based Personalized Healthcare.
Proceedings of the eHealth 360°, 2016

A lifetime-aware runtime mapping approach for many-core systems in the dark silicon era.
Proceedings of the 2016 Design, Automation & Test in Europe Conference & Exhibition, 2016

Self-Adaptive Resource Management System in IaaS Clouds.
Proceedings of the 9th IEEE International Conference on Cloud Computing, 2016

2015
Using Ant Colony System to Consolidate VMs for Green Cloud Computing.
IEEE Trans. Serv. Comput., 2015

PDNOC: Partially diagonal network-on-chip for high efficiency multicore systems.
Concurr. Comput. Pract. Exp., 2015

Fault tolerant and scalable IoT-based architecture for health monitoring.
Proceedings of the IEEE Sensors Applications Symposium, 2015

MapPro: Proactive Runtime Mapping for Dynamic Workloads by Quantifying Ripple Effect of Applications on Networks-on-Chip.
Proceedings of the 9th International Symposium on Networks-on-Chip, 2015

A Low-Overhead, Fully-Distributed, Guaranteed-Delivery Routing Algorithm for Faulty Network-on-Chips.
Proceedings of the 9th International Symposium on Networks-on-Chip, 2015

Accelerated On-chip Communication Test Methodology Using a Novel High-Level Fault Model.
Proceedings of the IEEE 9th International Symposium on Embedded Multicore/Many-core Systems-on-Chip, 2015

Predictable Application Mapping for Manycore Real-Time and Cyber-Physical Systems.
Proceedings of the IEEE 9th International Symposium on Embedded Multicore/Many-core Systems-on-Chip, 2015

Dynamic power management for many-core platforms in the dark silicon era: A multi-objective control approach.
Proceedings of the IEEE/ACM International Symposium on Low Power Electronics and Design, 2015

Elderly Monitoring System with Sleep and Fall Detector.
Proceedings of the Internet of Things. IoT Infrastructures, 2015

Context-Aware Early Warning System for In-Home Healthcare Using Internet-of-Things.
Proceedings of the Internet of Things. IoT Infrastructures, 2015

Dark silicon aware runtime mapping for many-core systems: A patterning approach.
Proceedings of the 33rd IEEE International Conference on Computer Design, 2015

Trio: A Triple Class On-chip Network Design for Efficient Multicore Processors.
Proceedings of the 17th IEEE International Conference on High Performance Computing and Communications, 2015

Software-based on-chip thermal sensor calibration for DVFS-enabled many-core systems.
Proceedings of the 2015 IEEE International Symposium on Defect and Fault Tolerance in VLSI and Nanotechnology Systems, 2015

Adaptive fault simulation on many-core microprocessor systems.
Proceedings of the 2015 IEEE International Symposium on Defect and Fault Tolerance in VLSI and Nanotechnology Systems, 2015

Power-aware online testing of manycore systems in the dark silicon era.
Proceedings of the 2015 Design, Automation & Test in Europe Conference & Exhibition, 2015

Smart e-Health Gateway: Bringing intelligence to Internet-of-Things based ubiquitous healthcare systems.
Proceedings of the 12th Annual IEEE Consumer Communications and Networking Conference, 2015

LISA: Lightweight Internet of Things Service Bus Architecture.
Proceedings of the 6th International Conference on Ambient Systems, 2015

Utilization Prediction Aware VM Consolidation Approach for Green Cloud Computing.
Proceedings of the 8th IEEE International Conference on Cloud Computing, 2015

Facial Expression Recognition with sEMG Method.
Proceedings of the 15th IEEE International Conference on Computer and Information Technology, 2015

Fog Computing in Healthcare Internet of Things: A Case Study on ECG Feature Extraction.
Proceedings of the 15th IEEE International Conference on Computer and Information Technology, 2015

2014
Special section on advances in methods for adaptive multicore systems.
J. Supercomput., 2014

Adaptive load balancing in learning-based approaches for many-core embedded systems.
J. Supercomput., 2014

High-Performance and Fault-Tolerant 3D NoC-Bus Hybrid Architecture Using ARB-NET-Based Adaptive Monitoring Platform.
IEEE Trans. Computers, 2014

Path-Based Partitioning Methods for 3D Networks-on-Chip with Minimal Adaptive Routing.
IEEE Trans. Computers, 2014

Bi-LCQ: A low-weight clustering-based Q-learning approach for NoCs.
Microprocess. Microsystems, 2014

High Performance Pattern Matching on Heterogeneous Platform.
J. Integr. Bioinform., 2014

Multi Rectangle Modeling Approach for Application Mapping on a Many-Core System.
Proceedings of the 22nd Euromicro International Conference on Parallel, 2014

Mixed-Criticality Run-Time Task Mapping for NoC-Based Many-Core Systems.
Proceedings of the 22nd Euromicro International Conference on Parallel, 2014

Energy-Efficient Virtual Machines Consolidation in Cloud Data Centers Using Reinforcement Learning.
Proceedings of the 22nd Euromicro International Conference on Parallel, 2014

Heterogeneous Parallelization of Aho-Corasick Algorithm.
Proceedings of the 8th International Conference on Practical Applications of Computational Biology & Bioinformatics, 2014

Customizing 6LoWPAN networks towards Internet-of-Things based ubiquitous healthcare systems.
Proceedings of the 2014 NORCHIP, Tampere, Finland, October 27-28, 2014, 2014

Towards energy-efficient HealthCare: An Internet-of-Things architecture using intelligent gateways.
Proceedings of the 4th International Conference on Wireless Mobile Communication and Healthcare: "Transforming healthcare through innovations in mobile and wireless technologies", 2014

Pervasive health monitoring based on Internet of Things: Two case studies.
Proceedings of the 4th International Conference on Wireless Mobile Communication and Healthcare: "Transforming healthcare through innovations in mobile and wireless technologies", 2014

Web-Enabled Intelligent Gateways for eHealth Internet-of-Things.
Proceedings of the Internet of Things. User-Centric IoT, 2014

Dark silicon aware power management for manycore systems under dynamic workloads.
Proceedings of the 32nd IEEE International Conference on Computer Design, 2014

Multi-agent Based Architecture for Dynamic VM Consolidation in Cloud Data Centers.
Proceedings of the 40th EUROMICRO Conference on Software Engineering and Advanced Applications, 2014

Energy-efficient concurrent testing approach for many-core systems in the dark silicon age.
Proceedings of the 2014 IEEE International Symposium on Defect and Fault Tolerance in VLSI and Nanotechnology Systems, 2014

Automated formal approach for debugging dividers using dynamic specification.
Proceedings of the 2014 IEEE International Symposium on Defect and Fault Tolerance in VLSI and Nanotechnology Systems, 2014

Online testing of many-core systems in the Dark Silicon era.
Proceedings of the 17th International Symposium on Design and Diagnostics of Electronic Circuits & Systems, 2014

SHiFA: System-Level Hierarchy in Run-Time Fault-Aware Management of Many-Core Systems.
Proceedings of the 51st Annual Design Automation Conference 2014, 2014

Hierarchical VM Management Architecture for Cloud Data Centers.
Proceedings of the IEEE 6th International Conference on Cloud Computing Technology and Science, 2014

Adjustable contiguity of run-time task allocation in networked many-core systems.
Proceedings of the 19th Asia and South Pacific Design Automation Conference, 2014

Hierarchical Agent-Based Architecture for Resource Management in Cloud Data Centers.
Proceedings of the 2014 IEEE 7th International Conference on Cloud Computing, Anchorage, AK, USA, June 27, 2014

Energy-Aware Dynamic VM Consolidation in Cloud Data Centers Using Ant Colony System.
Proceedings of the 2014 IEEE 7th International Conference on Cloud Computing, Anchorage, AK, USA, June 27, 2014

2013
Design and implementation of reconfigurable FIFOs for Voltage/Frequency Island-based Networks-on-Chip.
Microprocess. Microsystems, 2013

Optimal placement of vertical connections in 3D Network-on-Chip.
J. Syst. Archit., 2013

Design space exploration of thermal-aware many-core systems.
J. Syst. Archit., 2013

Special issue on network-based many-core embedded systems.
J. Syst. Archit., 2013

A systematic reordering mechanism for on-chip networks using efficient congestion-aware method.
J. Syst. Archit., 2013

Developing a power-efficient and low-cost 3D NoC using smart GALS-based vertical channels.
J. Comput. Syst. Sci., 2013

Cluster-based topologies for 3D Networks-on-Chip using advanced inter-layer bus architecture.
J. Comput. Syst. Sci., 2013

Exploration of Temperature-Aware Placement Approaches in 2D and 3D Stacked Systems.
Int. J. Adapt. Resilient Auton. Syst., 2013

Energy Aware Consolidation Algorithm Based on K-Nearest Neighbor Regression for Cloud Data Centers.
Proceedings of the IEEE/ACM 6th International Conference on Utility and Cloud Computing, 2013

Towards a Configurable Many-core Accelerator for FPGA-based embedded systems.
Proceedings of the 2013 8th International Workshop on Reconfigurable and Communication-Centric Systems-on-Chip (ReCoSoC), 2013

PDNOC: An Efficient Partially Diagonal Network-on-Chip Design.
Proceedings of the Parallel Processing and Applied Mathematics, 2013

Enhancing Performance of 3D Interconnection Networks using Efficient Multicast Communication Protocol.
Proceedings of the 21st Euromicro International Conference on Parallel, 2013

DyXYZ: Fully Adaptive Routing Algorithm for 3D NoCs.
Proceedings of the 21st Euromicro International Conference on Parallel, 2013

OPTNOC: An Optimized 3D Network-on-Chip Design for Fast Memory Access.
Proceedings of the Parallel Computing Technologies - 12th International Conference, 2013

From traditional VLSI education to embedded electronics.
Proceedings of the 2013 IEEE International Conference on Microelectronic Systems Education, 2013

Evaluate and optimize parallel Barnes-Hut algorithm for emerging many-core architectures.
Proceedings of the International Conference on High Performance Computing & Simulation, 2013

LiRCUP: Linear Regression Based CPU Usage Prediction Algorithm for Live Migration of Virtual Machines in Data Centers.
Proceedings of the 39th Euromicro Conference on Software Engineering and Advanced Applications, 2013

Enhanced fault-tolerant Network-on-Chip architecture using hierarchical agents.
Proceedings of the 16th IEEE International Symposium on Design and Diagnostics of Electronic Circuits & Systems, 2013

Smart hill climbing for agile dynamic mapping in many-core systems.
Proceedings of the 50th Annual Design Automation Conference 2013, 2013

Optimized multicore architectures for data parallel fast Fourier transform.
Proceedings of the Computer Systems and Technologies, 2013

MMSoC: a multi-layer multi-core storage-on-chip design for systems with high integration.
Proceedings of the Computer Systems and Technologies, 2013

2012
Memory-Efficient On-Chip Network With Adaptive Interfaces.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2012

Exploring a Low-Cost and Power-Efficient Hybridization Technique for 3D NoC-Bus Hybrid Architecture Using LastZ-Based Routing Algorithms.
J. Low Power Electron., 2012

Status Data and Communication Aspects in Dynamically Clustered Network-on-Chip Monitoring.
J. Electr. Comput. Eng., 2012

Parallelized Online Regularized Least-Squares for Adaptive Embedded Systems.
Int. J. Embed. Real Time Commun. Syst., 2012

Design and management of high-performance, reliable and thermal-aware 3D networks-on-chip.
IET Circuits Devices Syst., 2012

Green wideband RFID tag antenna for supply chain applications.
IEICE Electron. Express, 2012

Exploration of heuristic scheduling algorithms for 3D multicore processors.
Proceedings of the Workshop on Software and Compilers for Embedded Systems, 2012

Adaptive reinforcement learning method for networks-on-chip.
Proceedings of the 2012 International Conference on Embedded Computer Systems: Architectures, 2012

Transport layer aware design of network interface in many-core systems.
Proceedings of the 7th International Workshop on Reconfigurable and Communication-Centric Systems-on-Chip (ReCoSoC), 2012

An Efficient Hybridization Scheme for Stacked Mesh 3D NoC Architecture.
Proceedings of the 20th Euromicro International Conference on Parallel, 2012

LEAR - A Low-Weight and Highly Adaptive Routing Method for Distributing Congestions in On-chip Networks.
Proceedings of the 20th Euromicro International Conference on Parallel, 2012

Generic Monitoring and Management Infrastructure for 3D NoC-Bus Hybrid Architectures.
Proceedings of the 2012 Sixth IEEE/ACM International Symposium on Networks-on-Chip (NoCS), 2012

HARAQ: Congestion-Aware Learning Model for Highly Adaptive Routing Algorithm in On-Chip Networks.
Proceedings of the 2012 Sixth IEEE/ACM International Symposium on Networks-on-Chip (NoCS), 2012

Optimized Q-learning model for distributing traffic in on-Chip Networks.
Proceedings of the 3rd IEEE International Conference on Networked Embedded Systems for Every Application, 2012

A high-efficiency low-cost heterogeneous 3D network-on-chip design.
Proceedings of the Fifth International Workshop on Network on Chip Architectures, 2012

Analysis of Power Management Strategies for a Single-Chip Cloud Computer.
Proceedings of the Many-core Applications Research Community (MARC) Symposium at RWTH Aachen University, 2012

Partial-LastZ: An optimized hybridization technique for 3D NoC architecture enabling adaptive inter-layer communication.
Proceedings of the International SoC Design Conference, 2012

CoNA: Dynamic application mapping for congestion reduction in many-core systems.
Proceedings of the 30th International IEEE Conference on Computer Design, 2012

Implementation and Analysis of Block Dense Matrix Decomposition on Network-on-Chips.
Proceedings of the 14th IEEE International Conference on High Performance Computing and Communication & 9th IEEE International Conference on Embedded Software and Systems, 2012

NoC-AXI interface for FPGA-based MPSoC platforms.
Proceedings of the 22nd International Conference on Field Programmable Logic and Applications (FPL), 2012

Power and Thermal Analysis of Stacked Mesh 3D NoC Using AdaptiveXYZ Routing Algorithm.
Proceedings of the 15th Euromicro Conference on Digital System Design, 2012

CATRA- congestion aware trapezoid-based routing algorithm for on-chip networks.
Proceedings of the 2012 Design, Automation & Test in Europe Conference & Exhibition, 2012

A Cluster-Based Core Protection Technique for Networks-on-Chip.
Proceedings of the 36th Annual IEEE Computer Software and Applications Conference, 2012

ARB-NET: A novel adaptive monitoring platform for stacked mesh 3D NoC architectures.
Proceedings of the 17th Asia and South Pacific Design Automation Conference, 2012

2011
A study of 3D Network-on-Chip design for data parallel H.264 coding.
Microprocess. Microsystems, 2011

A generic adaptive path-based routing method for MPSoCs.
J. Syst. Archit., 2011

Analysis of Monitoring Structures for Network-on-Chip: A Distributed Approach.
Int. J. Embed. Real Time Commun. Syst., 2011

Boosting performance of self-timed delay-insensitive bit parallel on-chip interconnects.
IET Circuits Devices Syst., 2011

Agent-based on-chip network using efficient selection method.
Proceedings of the IEEE/IFIP 19th International Conference on VLSI and System-on-Chip, 2011

Exploration of MPSoC monitoring and management systems.
Proceedings of the 6th International Workshop on Reconfigurable Communication-centric Systems-on-Chip, 2011

Efficient congestion-aware selection method for on-chip networks.
Proceedings of the 6th International Workshop on Reconfigurable Communication-centric Systems-on-Chip, 2011

High-performance on-chip network platform for memory-on-processor architectures.
Proceedings of the 6th International Workshop on Reconfigurable Communication-centric Systems-on-Chip, 2011

Analysis of Status Data Update in Dynamically Clustered Network-on-chip Monitoring.
Proceedings of the PECCS 2011, 2011

A Parallel Online Regularized Least-squares Machine Learning Algorithm for Future Multi-core Processors.
Proceedings of the PECCS 2011, 2011

Insight into the Requirements of Self-aware, Adaptive and Reliable Embedded Sub-systems of Satellite Spacecraft.
Proceedings of the PECCS 2011, 2011

A Stacked Mesh 3D NoC Architecture Enabling Congestion-Aware and Reliable Inter-layer Communication.
Proceedings of the 19th International Euromicro Conference on Parallel, 2011

Power and Area Optimization of 3D Networks-on-Chip Using Smart and Efficient Vertical Channels.
Proceedings of the Integrated Circuit and System Design. Power and Timing Modeling, Optimization, and Simulation, 2011

Explorations of optimal core and cache placements for Chip Multiprocessor.
Proceedings of the 2011 NORCHIP, Lund, Sweden, November 14-15, 2011, 2011

A fault-tolerant and hierarchical routing algorithm for NoC architectures.
Proceedings of the 2011 NORCHIP, Lund, Sweden, November 14-15, 2011, 2011

Congestion aware, fault tolerant, and thermally efficient inter-layer communication scheme for hybrid NoC-bus 3D architectures.
Proceedings of the NOCS 2011, 2011

Exploring partitioning methods for 3D Networks-on-Chip utilizing adaptive routing model.
Proceedings of the NOCS 2011, 2011

Q-learning based congestion-aware routing algorithm for on-chip network.
Proceedings of the 2nd IEEE International Conference on Networked Embedded Systems for Enterprise Applications, 2011

Thermal Analysis of Advanced 3D Stacked Systems.
Proceedings of the IEEE Computer Society Annual Symposium on VLSI, 2011

Power-Efficient Inter-Layer Communication Architectures for 3D NoC.
Proceedings of the IEEE Computer Society Annual Symposium on VLSI, 2011

A Minimal Average Accessing Time Scheduler for Multicore Processors.
Proceedings of the Algorithms and Architectures for Parallel Processing, 2011

Process scheduling for future multicore processors.
Proceedings of the Fifth International Workshop on Interconnection Network Architecture, 2011

Study of Hierarchical N-Body Methods for Network-on-Chip Architectures.
Proceedings of the Euro-Par 2011: Parallel Processing Workshops - CCPI, CGWS, HeteroPar, HiBB, HPCVirt, HPPC, HPSS, MDGS, ProPer, Resilience, UCHPC, VHPC, Bordeaux, France, August 29, 2011

Thermal Analysis of Job Allocation and Scheduling Schemes for 3D Stacked NoC's.
Proceedings of the 14th Euromicro Conference on Digital System Design, 2011

LastZ: An Ultra Optimized 3D Networks-on-Chip Architecture.
Proceedings of the 14th Euromicro Conference on Digital System Design, 2011

Enhancing Performance Sustainability of Fault Tolerant Routing Algorithms in NoC-Based Architectures.
Proceedings of the 14th Euromicro Conference on Digital System Design, 2011

Optimal number and placement of Through Silicon Vias in 3D Network-on-Chip.
Proceedings of the 14th IEEE International Symposium on Design and Diagnostics of Electronic Circuits & Systems, 2011

Evaluating Sustainability, Environmental Assessment and Toxic Emissions during Manufacturing Process of RFID Based Systems.
Proceedings of the IEEE Ninth International Conference on Dependable, 2011

Enhancing Performance of NoC-Based Architectures Using Heuristic Virtual-Channel Sharing Approach.
Proceedings of the 35th Annual IEEE International Computer Software and Applications Conference, 2011

Optimal memory controller placement for chip multiprocessor.
Proceedings of the 9th International Conference on Hardware/Software Codesign and System Synthesis, 2011

Cluster-based topologies for 3D stacked architectures.
Proceedings of the 8th Conference on Computing Frontiers, 2011

Change Function of 2D/3D Network-on-Chip.
Proceedings of the 11th IEEE International Conference on Computer and Information Technology, 2011

Memory-efficient logic layer communication platform for 3D-stacked memory-on-processor architectures.
Proceedings of the 2011 IEEE International 3D Systems Integration Conference (3DIC), Osaka, Japan, January 31, 2011

2010
Self-Adaptive System for Addressing Permanent Errors in On-Chip Interconnects.
IEEE Trans. Very Large Scale Integr. Syst., 2010

Thermal modelling of 3D multicore systems in a flip-chip package.
Proceedings of the Annual IEEE International SoC Conference, SoCC 2010, 2010

HAMUM - A Novel Routing Protocol for Unicast and Multicast Traffic in MPSoCs.
Proceedings of the 18th Euromicro Conference on Parallel, 2010

A High-Performance Network Interface Architecture for NoCs Using Reorder Buffer Sharing.
Proceedings of the 18th Euromicro Conference on Parallel, 2010

A Low-Latency and Memory-Efficient On-chip Network.
Proceedings of the NOCS 2010, 2010

BBVC-3D-NoC: An Efficient 3D NoC Architecture Using Bidirectional Bisynchronous Vertical Channels.
Proceedings of the IEEE Computer Society Annual Symposium on VLSI, 2010

Performance Analysis of 3D NoCs Partitioning Methods.
Proceedings of the IEEE Computer Society Annual Symposium on VLSI, 2010

High-Performance TSV Architecture for 3-D ICs.
Proceedings of the IEEE Computer Society Annual Symposium on VLSI, 2010

Input-Output Selection Based Router for Networks-on-Chip.
Proceedings of the IEEE Computer Society Annual Symposium on VLSI, 2010

Power-aware NoC router using central forecasting-based dynamic virtual channel allocation.
Proceedings of the International Symposium on Circuits and Systems (ISCAS 2010), May 30, 2010

A Greedy Heuristic Approximation Scheduling Algorithm for 3D Multicore Processors.
Proceedings of the Euro-Par 2011: Parallel Processing Workshops - CCPI, CGWS, HeteroPar, HiBB, HPCVirt, HPPC, HPSS, MDGS, ProPer, Resilience, UCHPC, VHPC, Bordeaux, France, August 29, 2010

A fault-tolerant and congestion-aware routing algorithm for Networks-on-Chip.
Proceedings of the 13th IEEE International Symposium on Design and Diagnostics of Electronic Circuits and Systems, 2010

Developing reconfigurable FIFOs to optimize power/performance of Voltage/Frequency Island-based networks-on-chip.
Proceedings of the 13th IEEE International Symposium on Design and Diagnostics of Electronic Circuits and Systems, 2010

Partitioning methods for unicast/multicast traffic in 3D NoC architecture.
Proceedings of the 13th IEEE International Symposium on Design and Diagnostics of Electronic Circuits and Systems, 2010

Power and performance optimization of voltage/frequency island-based networks-on-chip using reconfigurable synchronous/bi-synchronous FIFOs.
Proceedings of the 7th Conference on Computing Frontiers, 2010

Operating System Processor Scheduler Design for Future Chip Multiprocessor.
Proceedings of the ARCS '10, 2010

CMIT - A novel cluster-based topology for 3D stacked architectures.
Proceedings of the IEEE International Conference on 3D System Integration, 2010

2009
Explorations of Honeycomb Topologies for Network-on-Chip.
Proceedings of the NPC 2009, 2009

Architectural Exploration of Per-Core DVFS for Energy-Constrained On-Chip Networks.
Proceedings of the 12th Euromicro Conference on Digital System Design, 2009

An Adaptive Unicast/Multicast Routing Algorithm for MPSoCs.
Proceedings of the 12th Euromicro Conference on Digital System Design, 2009

Self-timed thermal sensing and monitoring of multicore systems.
Proceedings of the 2009 IEEE Symposium on Design and Diagnostics of Electronic Circuits and Systems, 2009

2007
Online Reconfigurable Self-Timed Links for Fault Tolerant NoC.
VLSI Design, 2007

Analysis of forward error correction methods for nanoscale networks-on-chip.
Proceedings of the 2nd Internationa ICST Conference on Nano-Networks, 2007

Fault Tolerance Analysis of NoC Architectures.
Proceedings of the International Symposium on Circuits and Systems (ISCAS 2007), 2007

2005
Modelling and Refinement of an On-Chip Communication Architecture.
Proceedings of the Formal Methods and Software Engineering, 2005

2004
Self-timed communication platform for implementing high-performance systems-on-chip.
Integr., 2004

Refinement of on-chip communication channels.
Proceedings of the 2004 International Symposium on System-on-Chip, 2004

2003
Implementation of a Self-Timed Segmented Bus.
IEEE Des. Test Comput., 2003

Self-Timed Approach for Reducing On-Chip Switching Noise.
Proceedings of the IFIP VLSI-SoC 2003, 2003

2002
Interconnect peak current reduction for wavelet array processor using self-timed signaling.
Proceedings of the 2002 International Symposium on Circuits and Systems, 2002

2001
Asynchronous interface for locally clocked modules in ULSI systems.
Proceedings of the 2001 International Symposium on Circuits and Systems, 2001


  Loading...