M. H. Haghbayan

Orcid: 0000-0001-6583-4418

Affiliations:
  • University of Turku, Finland


According to our database1, M. H. Haghbayan authored at least 74 papers between 2010 and 2023.

Collaborative distances:
  • Dijkstra number2 of four.
  • Erdős number3 of four.

Timeline

Legend:

Book 
In proceedings 
Article 
PhD thesis 
Dataset
Other 

Links

Online presence:

On csauthors.net:

Bibliography

2023
Run-Time Resource Management in CMPs Handling Multiple Aging Mechanisms.
IEEE Trans. Computers, October, 2023

DCP-SLAM: Distributed Collaborative Partial Swarm SLAM for Efficient Navigation of Autonomous Robots.
Sensors, January, 2023

Energy-Efficient Post-Failure Reconfiguration of Swarms of Unmanned Aerial Vehicles.
IEEE Access, 2023

An Extension of the Kinetic Battery Model for Optimal Control Applications.
Proceedings of the 32nd IEEE International Symposium on Industrial Electronics, 2023

A Light-Weight Model For Run-Time Battery SOC-SOH Estimation While Considering Aging.
Proceedings of the 37th ECMS International Conference on Modelling and Simulation, 2023

A Coupled Battery State-of-Charge and Voltage Model for Optimal Control Applications.
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2023

2022
An AI-in-Loop Fuzzy-Control Technique for UAV's Stabilization and Landing.
IEEE Access, 2022

Partial Swarm SLAM for Intelligent Navigation.
Proceedings of the Advances in Practical Applications of Agents, Multi-Agent Systems, and Complex Systems Simulation. The PAAMS Collection, 2022

How To Run A World Record? A Reinforcement Learning Approach.
Proceedings of the 36th ECMS International Conference on Modelling and Simulation, 2022

Thread-level Parallelism in Fault Simulation of Deep Neural Networks on Multi-Processor Systems.
Proceedings of the IEEE International Symposium on Defect and Fault Tolerance in VLSI and Nanotechnology Systems, 2022

2021
Energy-Efficient Navigation of an Autonomous Swarm with Adaptive Consciousness.
Remote. Sens., 2021

Remote Run-Time Failure Detection and Recovery Control For Quadcopters.
J. Integr. Des. Process. Sci., 2021

DBA-Filter: A Dynamic Background Activity Noise Filtering Algorithm for Event Cameras.
Proceedings of the Intelligent Computing, 2021

High-Performance Parallel Fault Simulation for Multi-Core Systems.
Proceedings of the 29th Euromicro International Conference on Parallel, 2021

Energy-Efficient Mobile Robot Control via Run-time Monitoring of Environmental Complexity and Computing Workload.
Proceedings of the IEEE/RSJ International Conference on Intelligent Robots and Systems, 2021

Cellular Formation Maintenance and Collision Avoidance Using Centroid-Based Point Set Registration in a Swarm of Drones.
Proceedings of the Intelligent Systems and Applications, 2021

Hierarchical Fault Simulation of Deep Neural Networks on Multi-Core Systems.
Proceedings of the 26th IEEE European Test Symposium, 2021

MCX ? An Open-Source Framework For Digital Twins.
Proceedings of the 35th International ECMS International Conference on Modelling and Simulation, 2021

Capacity Loss Estimation For Li-Ion Batteries Based On A Semi-Empirical Model.
Proceedings of the 35th International ECMS International Conference on Modelling and Simulation, 2021

2020
Swarm Formation Morphing for Congestion Aware Collision Avoidance.
CoRR, 2020

Dynamic Formation Reshaping Based on Point Set Registration in a Swarm of Drones.
CoRR, 2020

Energy-Efficient Formation Morphing for Collision Avoidance in a Swarm of Drones.
IEEE Access, 2020

Unmanned Aerial Vehicles (UAVs): Collision Avoidance Systems and Approaches.
IEEE Access, 2020

Comparison of Linear and Nonlinear Methods for Distributed Control of a Hierarchical Formation of UAVs.
IEEE Access, 2020

Heterogeneous Parallelization for Object Detection and Tracking in UAVs.
IEEE Access, 2020

Navigation of Autonomous Swarm of Drones Using Translational Coordinates.
Proceedings of the Advances in Practical Applications of Agents, Multi-Agent Systems, and Trustworthiness. The PAAMS Collection, 2020

Asynchronous Corner Tracking Algorithm Based on Lifetime of Events for DAVIS Cameras.
Proceedings of the Advances in Visual Computing - 15th International Symposium, 2020

Night vision obstacle detection and avoidance based on Bio-Inspired Vision Sensors.
Proceedings of the 2020 IEEE Sensors, Rotterdam, The Netherlands, October 25-28, 2020, 2020

Dynamic Resource-Aware Corner Detection for Bio-Inspired Vision Sensors.
Proceedings of the 25th International Conference on Pattern Recognition, 2020

Navigation System For Landing A Swarm Of Autonomous Drones On A Movable Surface.
Proceedings of the 34th International ECMS Conference on Modelling and Simulation, 2020

Thermal-Cycling-aware Dynamic Reliability Management in Many-Core System-on-Chip.
Proceedings of the 2020 Design, Automation & Test in Europe Conference & Exhibition, 2020

Co-design in Bird Scaring Drone Systems: Potentials and Challenges in Agriculture.
Proceedings of the International Conference on Advanced Intelligent Systems and Informatics, 2020

Towards Real-Time Edge Detection for Event Cameras Based on Lifetime and Dynamic Slicing.
Proceedings of the International Conference on Artificial Intelligence and Computer Vision, 2020

2019
Swarms of Unmanned Aerial Vehicles - A Survey.
J. Ind. Inf. Integr., 2019

A Survey on Odometry for Autonomous Navigation Systems.
IEEE Access, 2019

Formation Maintenance and Collision Avoidance in a Swarm of Drones.
Proceedings of the ISCSIC 2019: 3rd International Symposium on Computer Science and Intelligent Control, 2019

Towards Dynamic Monocular Visual Odometry Based on an Event Camera and IMU Sensor.
Proceedings of the Intelligent Transport Systems. From Research and Development to the Market Uptake, 2019

Monocular visual odometry based on hybrid parameterization.
Proceedings of the Twelfth International Conference on Machine Vision, 2019

2018
adBoost: Thermal Aware Performance Boosting Through Dark Silicon Patterning.
IEEE Trans. Computers, 2018

An Efficient Multi-sensor Fusion Approach for Object Detection in Maritime Environments.
Proceedings of the 21st International Conference on Intelligent Transportation Systems, 2018

Approximation for Run-time Power Management.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2018

Object Detection Based on Multi-sensor Proposal Fusion in Maritime Environment.
Proceedings of the 17th IEEE International Conference on Machine Learning and Applications, 2018

2017
Reliability-Aware Runtime Power Management for Many-Core Systems in the Dark Silicon Era.
IEEE Trans. Very Large Scale Integr. Syst., 2017

Accuracy-Aware Power Management for Many-Core Systems Running Error-Resilient Applications.
IEEE Trans. Very Large Scale Integr. Syst., 2017

Performance/Reliability-Aware Resource Management for Many-Cores in Dark Silicon Era.
IEEE Trans. Computers, 2017

Can Dark Silicon Be Exploited to Prolong System Lifetime?
IEEE Des. Test, 2017

Test Generation and Scheduling for a Hybrid BIST Considering Test Time and Power Constraint.
CoRR, 2017

2016
A Power-Aware Approach for Online Test Scheduling in Many-Core Architectures.
IEEE Trans. Computers, 2016

A dynamic specification to automatically debug and correct various divider circuits.
Integr., 2016

Real-Time Swimmer Tracking on Sparse Camera Array.
Proceedings of the Pattern Recognition Applications and Methods, 2016

Approximation knob: power capping meets energy efficiency.
Proceedings of the 35th International Conference on Computer-Aided Design, 2016

A lifetime-aware runtime mapping approach for many-core systems in the dark silicon era.
Proceedings of the 2016 Design, Automation & Test in Europe Conference & Exhibition, 2016

2015
MapPro: Proactive Runtime Mapping for Dynamic Workloads by Quantifying Ripple Effect of Applications on Networks-on-Chip.
Proceedings of the 9th International Symposium on Networks-on-Chip, 2015

Accelerated On-chip Communication Test Methodology Using a Novel High-Level Fault Model.
Proceedings of the IEEE 9th International Symposium on Embedded Multicore/Many-core Systems-on-Chip, 2015

Dynamic power management for many-core platforms in the dark silicon era: A multi-objective control approach.
Proceedings of the IEEE/ACM International Symposium on Low Power Electronics and Design, 2015

Dark silicon aware runtime mapping for many-core systems: A patterning approach.
Proceedings of the 33rd IEEE International Conference on Computer Design, 2015

Software-based on-chip thermal sensor calibration for DVFS-enabled many-core systems.
Proceedings of the 2015 IEEE International Symposium on Defect and Fault Tolerance in VLSI and Nanotechnology Systems, 2015

Adaptive fault simulation on many-core microprocessor systems.
Proceedings of the 2015 IEEE International Symposium on Defect and Fault Tolerance in VLSI and Nanotechnology Systems, 2015

Power-aware online testing of manycore systems in the dark silicon era.
Proceedings of the 2015 Design, Automation & Test in Europe Conference & Exhibition, 2015

2014
Formal Verification and Debugging of Array Dividers with Auto-correction Mechanism.
Proceedings of the 2014 27th International Conference on VLSI Design, 2014

Dark silicon aware power management for manycore systems under dynamic workloads.
Proceedings of the 32nd IEEE International Conference on Computer Design, 2014

A mathematical model for estimating acceptable ratio of test patterns.
Proceedings of the 2014 East-West Design & Test Symposium, 2014

Energy-efficient concurrent testing approach for many-core systems in the dark silicon age.
Proceedings of the 2014 IEEE International Symposium on Defect and Fault Tolerance in VLSI and Nanotechnology Systems, 2014

Automated formal approach for debugging dividers using dynamic specification.
Proceedings of the 2014 IEEE International Symposium on Defect and Fault Tolerance in VLSI and Nanotechnology Systems, 2014

Online testing of many-core systems in the Dark Silicon era.
Proceedings of the 17th International Symposium on Design and Diagnostics of Electronic Circuits & Systems, 2014

2013
Graph based fault model definition for bus testing.
Proceedings of the 21st IEEE/IFIP International Conference on VLSI and System-on-Chip, 2013

Test data compression strategy while using hybrid-BIST methodology.
Proceedings of the East-West Design & Test Symposium, 2013

Functional fault model definition for bus testing.
Proceedings of the East-West Design & Test Symposium, 2013

High-level test program generation strategies for processors.
Proceedings of the East-West Design & Test Symposium, 2013

2012
Power constraint testing for multi-clock domain SoCs using concurrent hybrid BIST.
Proceedings of the IEEE 15th International Symposium on Design and Diagnostics of Electronic Circuits & Systems, 2012

2011
Online Test Macro Scheduling and Assignment in MPSoC Design.
Proceedings of the 20th IEEE Asian Test Symposium, 2011

2010
Generating test patterns for sequential circuits using random patterns by PLI functions.
Proceedings of the 2010 East-West Design & Test Symposium, 2010

Architecture design and technical methodology for bus testing.
Proceedings of the 2010 East-West Design & Test Symposium, 2010

Test Pattern Selection and Compaction for Sequential Circuits in an HDL Environment.
Proceedings of the 19th IEEE Asian Test Symposium, 2010


  Loading...