Brent E. Nelson

Orcid: 0000-0002-7523-3269

Affiliations:
  • Brigham Young University, Provo, Utah, USA


According to our database1, Brent E. Nelson authored at least 76 papers between 1984 and 2019.

Collaborative distances:
  • Dijkstra number2 of four.
  • Erdős number3 of four.

Timeline

Legend:

Book 
In proceedings 
Article 
PhD thesis 
Dataset
Other 

Links

Online presence:

On csauthors.net:

Bibliography

2019
Maverick: A Stand-alone CAD Flow for Xilinx 7-Series FPGAs.
Proceedings of the 2019 ACM/SIGDA International Symposium on Field-Programmable Gate Arrays, 2019

Maverick: A Stand-Alone CAD Flow for Partially Reconfigurable FPGA Modules.
Proceedings of the 27th IEEE Annual International Symposium on Field-Programmable Custom Computing Machines, 2019

Third Party CAD Tools for FPGA Design - A Survey of the Current Landscape.
Proceedings of the Applied Reconfigurable Computing - 15th International Symposium, 2019

2017
Vivado design interface: An export/import capability for Vivado FPGA designs.
Proceedings of the 27th International Conference on Field Programmable Logic and Applications, 2017

2016
Packing a modern Xilinx FPGA using RapidSmith.
Proceedings of the International Conference on ReConFigurable Computing and FPGAs, 2016

An XDL alternative for interfacing RapidSmith and Vivado.
Proceedings of the 26th International Conference on Field Programmable Logic and Applications, 2016

Efficient processing of phased array radar in sense and avoid application using heterogeneous computing.
Proceedings of the 26th International Conference on Field Programmable Logic and Applications, 2016

2015
RapidSmith 2: A Framework for BEL-level CAD Exploration on Xilinx FPGAs.
Proceedings of the 2015 ACM/SIGDA International Symposium on Field-Programmable Gate Arrays, 2015

2014
Tincr - A custom CAD tool framework for Vivado.
Proceedings of the 2014 International Conference on ReConFigurable Computing and FPGAs, 2014

2013
Improving clock-rate of hard-macro designs.
Proceedings of the 2013 International Conference on Field-Programmable Technology, 2013

Impact of hard macro size on FPGA clock rate and place/route time.
Proceedings of the 23rd International Conference on Field programmable Logic and Applications, 2013

Rapid FPGA design prototyping through preservation of system logic: A case study.
Proceedings of the 23rd International Conference on Field programmable Logic and Applications, 2013

2011
RapidSmith: Do-It-Yourself CAD Tools for Xilinx FPGAs.
Proceedings of the International Conference on Field Programmable Logic and Applications, 2011

XDL-Based Module Generators for Rapid FPGA Design Implementation.
Proceedings of the International Conference on Field Programmable Logic and Applications, 2011

HMFlow: Accelerating FPGA Compilation with Hard Macros for Rapid Prototyping.
Proceedings of the IEEE 19th Annual International Symposium on Field-Programmable Custom Computing Machines, 2011

2010
A Comparison Study on Implementing Optical Flow and Digital Communications on FPGAs and GPUs.
ACM Trans. Reconfigurable Technol. Syst., 2010

Hardware-Friendly Vision Algorithms for Embedded Obstacle Detection Applications.
IEEE Trans. Circuits Syst. Video Technol., 2010

Two-frame structure from motion using optical flow probability distributions for unmanned air vehicle obstacle avoidance.
Mach. Vis. Appl., 2010

Rapid prototyping tools for FPGA designs: RapidSmith.
Proceedings of the International Conference on Field-Programmable Technology, 2010

Using Hard Macros to Reduce FPGA Compilation Time.
Proceedings of the International Conference on Field Programmable Logic and Applications, 2010

Increasing Design Productivity through Core Reuse, Meta-data Encapsulation, and Synthesis.
Proceedings of the International Conference on Field Programmable Logic and Applications, 2010

2009
Multi-frame structure from motion using optical flow probability distributions.
Neurocomputing, 2009

Comparing fine-grained performance on the Ambric MPPA against an FPGA.
Proceedings of the 19th International Conference on Field Programmable Logic and Applications, 2009

Optical Flow on the Ambric Massively Parallel Processor Array (MPPA).
Proceedings of the FCCM 2009, 2009

FPGA Design Productivity - A Discussion of the State of the Art and a Research Agenda.
Proceedings of the Reconfigurable Computing: Architectures, 2009

2008
Design, Debug, Deploy: The Creation of Configurable Computing Applications.
J. Signal Process. Syst., 2008

FPGA-Based Embedded Motion Estimation Sensor.
Int. J. Reconfigurable Comput., 2008

Accurate Optical Flow Sensor for Obstacle Avoidance.
Proceedings of the Advances in Visual Computing, 4th International Symposium, 2008

Real-time accurate optical flow-based motion sensor.
Proceedings of the 19th International Conference on Pattern Recognition (ICPR 2008), 2008

Real-Time Optical Flow Calculations on FPGA and GPU Architectures: A Comparison Study.
Proceedings of the 16th IEEE International Symposium on Field-Programmable Custom Computing Machines, 2008

Design Productivity for Configurable Computing.
Proceedings of the 2008 International Conference on Engineering of Reconfigurable Systems & Algorithms, 2008

2007
FPGA-based Real-time Optical Flow Algorithm Design and Implementation.
J. Multim., 2007

A Fast and Accurate Tensor-based Optical Flow Algorithm Implemented in FPGA.
Proceedings of the 8th IEEE Workshop on Applications of Computer Vision (WACV 2007), 2007

A Hardware-Friendly Adaptive Tensor Based Optical Flow Algorithm.
Proceedings of the Advances in Visual Computing, Third International Symposium, 2007

2006
The Mythical CCM: In Search of Usable (and Resuable) FPGA-Based General Computing Machines.
Proceedings of the 2006 IEEE International Conference on Application-Specific Systems, 2006

2005
A Flexible Circuit-Switched NOC for FPGA-Based Systems.
Proceedings of the 2005 International Conference on Field Programmable Logic and Applications (FPL), 2005

Choice of base revisited: higher radices for FPGA-based floating-point computation (abstract only).
Proceedings of the ACM/SIGDA 13th International Symposium on Field Programmable Gate Arrays, 2005

Higher Radix Floating-Point Representations for FPGA-Based Arithmetic.
Proceedings of the 13th IEEE Symposium on Field-Programmable Custom Computing Machines (FCCM 2005), 2005

2004
GigaOp DSP on FPGA.
J. VLSI Signal Process., 2004

JHDLBits: The Merging of Two Worlds.
Proceedings of the Field Programmable Logic and Application, 2004

A Parallel FFT Architecture for FPGAs.
Proceedings of the Field Programmable Logic and Application, 2004

2003
Tradeoffs of Designing Floating-Point Division and Square Root on Virtex FPGAs.
Proceedings of the 11th IEEE Symposium on Field-Programmable Custom Computing Machines (FCCM 2003), 2003

Reconfigurable Computing Application Frameworks.
Proceedings of the 11th IEEE Symposium on Field-Programmable Custom Computing Machines (FCCM 2003), 2003

2002
Debug methods for hybrid CPU/FPGA systems.
Proceedings of the 2002 IEEE International Conference on Field-Programmable Technology, 2002

Novel Optimizations for Hardware Floating-Point Units in a Modern FPGA Architecture.
Proceedings of the Field-Programmable Logic and Applications, 2002

2001
Unifying simulation and execution in a design environment for FPGA systems.
IEEE Trans. Very Large Scale Integr. Syst., 2001

Using Design-Level Scan to Improve FPGA Design Observability and Controllability for Functional Verification.
Proceedings of the Field-Programmable Logic and Applications, 2001

Instrumenting Bitstreams for Debugging FPGA Circuits.
Proceedings of the 9th Annual IEEE Symposium on Field-Programmable Custom Computing Machines, 2001

2000
Designing and Debugging Custom Computing Applications.
IEEE Des. Test Comput., 2000

A Reconfigurable Computing Architecture for Microsensors.
Proceedings of the 8th IEEE Symposium on Field-Programmable Custom Computing Machines (FCCM 2000), 2000

Improving the FPGA Design Process through Determining and Applying Logical-to-Physical Design Mappings.
Proceedings of the 8th IEEE Symposium on Field-Programmable Custom Computing Machines (FCCM 2000), 2000

Using general-purpose programming languages for FPGA design.
Proceedings of the 37th Conference on Design Automation, 2000

1999
Error control coding in software radios: an FPGA approach.
IEEE Wirel. Commun., 1999

Reconfigurable Processors for High-Performance, Embedded Digital Signal Processing.
Proceedings of the Field-Programmable Logic and Applications, 9th International Workshop, 1999

Optimal Finite Field Multipliers for FPGAs.
Proceedings of the Field-Programmable Logic and Applications, 9th International Workshop, 1999

A CAD Suite for High-Performance FPGA Design.
Proceedings of the 7th IEEE Symposium on Field-Programmable Custom Computing Machines (FCCM '99), 1999

1998
FPGA-Based Sonar Processing.
Proceedings of the 1998 ACM/SIGDA Sixth International Symposium on Field Programmable Gate Arrays, 1998

Frequency-Domain Sonar Processing in FPGAs and DSPs.
Proceedings of the 6th IEEE Symposium on Field-Programmable Custom Computing Machines (FCCM '98), 1998

1996
Locality as a Visualization Tool.
IEEE Trans. Computers, 1996

Transaction Processing Workloads - A Comparison to the SPEC Benchmarks Using Memory Hierarchy Performance Studies.
Proceedings of the MASCOTS '96, 1996

The Inaccuracy of Trace-Driven Simulation Using Incomplete Mulitprogramming Trace Data.
Proceedings of the MASCOTS '96, 1996

Genetic algorithms in software and in hardware-a performance analysis of workstation and custom computing machine implementations.
Proceedings of the 4th IEEE Symposium on FPGAs for Custom Computing Machines (FCCM '96), 1996

1995
A Hardware Genetic Algorithm for the Travelling Salesman Problem on SPLASH 2.
Proceedings of the Field-Programmable Logic and Applications, 5th International Workshop, 1995

1994
On the Accuracy of Memory Reference Models.
Proceedings of the Computer Performance Evaluation, 1994

1993
Multiple Prefetch Adaptive Disk Caching.
IEEE Trans. Knowl. Data Eng., 1993

Evaluating Performance of Prefetching Second Level Caches.
SIGMETRICS Perform. Evaluation Rev., 1993

BACH: a hardware monitor for tracing microprocessor-based systems.
Microprocess. Microsystems, 1993

Incomplete Trace Data and Trace Driven Simulation.
Proceedings of the MASCOTS '93, 1993

1991
Performance analysis of inclusion effects in multi-level multiprocessor caches.
Proceedings of the Third IEEE Symposium on Parallel and Distributed Processing, 1991

1989
Vector quantization codebook generation using simulated annealing.
Proceedings of the IEEE International Conference on Acoustics, 1989

1988
Processor design using path programmable logic.
Proceedings of the Computer Design: VLSI in Computers and Processors, 1988

1987
A multiprogrammed parallel architecture for digital signal processing.
Proceedings of the IEEE International Conference on Acoustics, 1987

1986
A bit-serial VLSI vector quantizer.
Proceedings of the IEEE International Conference on Acoustics, 1986

1984
Transforming an Ada Program Unit to Silicon and Verifying Its Behavior in an Ada Environment: A first Experiment.
IEEE Softw., 1984

The structure and operation of a relational database system in a cell-oriented integrated circuit design system.
Proceedings of the 21st Design Automation Conference, 1984

Transforming an Ada Program Unit to Silicon and Testing It in an Ada Environment.
Proceedings of the COMPCON'84, Digest of Papers, Twenty-Eighth IEEE Computer Society International Conference, San Francisco, California, USA, February 27, 1984


  Loading...