Peter M. Athanas

Affiliations:
  • Virginia Tech, Blacksburg, Virginia, USA


According to our database1, Peter M. Athanas authored at least 139 papers between 1991 and 2022.

Collaborative distances:
  • Dijkstra number2 of four.
  • Erdős number3 of four.

Timeline

Legend:

Book 
In proceedings 
Article 
PhD thesis 
Dataset
Other 

Links

Online presence:

On csauthors.net:

Bibliography

2022
Kalman Filter Driven Estimation of Community Structure in Time Varying Graphs.
Proceedings of the IEEE High Performance Extreme Computing Conference, 2022

2021
Graph Analytics on Hybrid System (GAHS) Case Study: PageRank.
Proceedings of the IEEE International Parallel and Distributed Processing Symposium Workshops, 2021

DPGS Graph Summarization Preserves Community Structure.
Proceedings of the 2021 IEEE High Performance Extreme Computing Conference, 2021

Domain-Specific Modeling and Optimization for Graph Processing on FPGAs.
Proceedings of the Applied Reconfigurable Computing. Architectures, Tools, and Applications, 2021

2020
A practical application of game theory to optimize selection of hardware Trojan detection strategies.
J. Hardw. Syst. Secur., 2020

Incremental Streaming Graph Partitioning.
Proceedings of the 2020 IEEE High Performance Extreme Computing Conference, 2020

2019
Data staging for efficient high throughput stream processing.
Parallel Comput., 2019

Scheduling Data in Neural Network Applications.
Proceedings of the 2019 ACM/SIGDA International Symposium on Field-Programmable Gate Arrays, 2019

2018
p4pktgen: Automated Test Case Generation for P4 Programs.
Proceedings of the Symposium on SDN Research, 2018

Exploring FPGA-specific Optimizations for Irregular OpenCL Applications.
Proceedings of the 2018 International Conference on ReConFigurable Computing and FPGAs, 2018

Query language for large-scale P4 network debugging.
Proceedings of the 2018 Symposium on Architectures for Networking and Communications Systems, 2018

2017
On the Evaluation of Different High-Performance Computing Platforms for Hyperspectral Imaging: An OpenCL-Based Approach.
IEEE J. Sel. Top. Appl. Earth Obs. Remote. Sens., 2017

Hardware accelerated SAT solvers - A survey.
J. Parallel Distributed Comput., 2017

OpenCL-based design pattern for line rate packet processing.
Proceedings of the 28th IEEE International Conference on Application-specific Systems, 2017

2016
Introduction to the Special Section on FPGAs Technology and Applications.
Comput. Electr. Eng., 2016

Incorporating rapid design assembly into a virtual prototyping environment.
Proceedings of the International Conference on Embedded Computer Systems: Architectures, 2016

An effective probability distribution SAT solver on reconfigurable hardware.
Proceedings of the International Conference on ReConFigurable Computing and FPGAs, 2016

Automating structured matrix-matrix multiplication for stream processing.
Proceedings of the International Conference on ReConFigurable Computing and FPGAs, 2016

Arbitrary streaming permutations with minimum memory and latency.
Proceedings of the 35th International Conference on Computer-Aided Design, 2016

Finding Space-Time Stream Permutations for Minimum Memory and Latency.
Proceedings of the 24th IEEE Annual International Symposium on Field-Programmable Custom Computing Machines, 2016

A q-gram birthmarking approach to predicting reusable hardware.
Proceedings of the 2016 Design, Automation & Test in Europe Conference & Exhibition, 2016

2015
Shape exploration for modules in rapid assembly workflows.
Proceedings of the International Conference on ReConFigurable Computing and FPGAs, 2015

An efficient structure for run-time configuration of synthesis and channelizer filter banks.
Proceedings of the International Conference on ReConFigurable Computing and FPGAs, 2015

Buffering strategies for ultra high-throughput stream processing.
Proceedings of the International Conference on ReConFigurable Computing and FPGAs, 2015

Inferring custom architectures from OpenCL.
Proceedings of the 25th International Workshop on Power and Timing Modeling, 2015

In-system testing of Xilinx 7-Series FPGAs: Part 1-logic.
Proceedings of the 34th IEEE Military Communications Conference, 2015

Discovering Reusable Hardware Using Birthmarking Techniques.
Proceedings of the 2015 IEEE International Conference on Information Reuse and Integration, 2015

Energy interactions between multicast and content distribution within data communication networks.
Proceedings of the IEEE International Conference on Industrial Technology, 2015

2014
A design assembly framework for FPGA back-end acceleration.
Microprocess. Microsystems, 2014

Introduction to Special issue on FPGA Devices and Applications.
Microprocess. Microsystems, 2014

Introduction to the special issue on FPGA Technology and Applications.
Comput. Electr. Eng., 2014

FPGA-based accelerator development for non-engineers.
Proceedings of the 2014 International Conference on ReConFigurable Computing and FPGAs, 2014

A device-agnostic tool for precomputing legal placements in modular design flows.
Proceedings of the 2014 International Conference on ReConFigurable Computing and FPGAs, 2014

A hardware generator for factor graph applications.
Proceedings of the 2014 International Conference on ReConFigurable Computing and FPGAs, 2014

On the performance and energy efficiency of FPGAs and GPUs for polyphase channelization.
Proceedings of the 2014 International Conference on ReConFigurable Computing and FPGAs, 2014

A global perspective on energy conservation in large data networks.
Proceedings of the 24th International Workshop on Power and Timing Modeling, 2014

A power-efficient FPGA-based self-adaptive software defined radio.
Proceedings of the 24th International Workshop on Power and Timing Modeling, 2014

Secure-by-construction composable componentry for network processing.
Proceedings of the 2014 Symposium and Bootcamp on the Science of Security, 2014

An enhanced and embedded GNU radio flow.
Proceedings of the 24th International Conference on Field Programmable Logic and Applications, 2014

2013
Selected Papers from the 2011 International Conference on Reconfigurable Computing and FPGAs (ReConFig 2011).
Int. J. Reconfigurable Comput., 2013

FPGA-based HPC application design for non-experts.
Proceedings of the 24th IEEE International Symposium on Rapid System Prototyping, 2013

Enhancing productivity with back-end similarity matching of digital circuits for IP reuse.
Proceedings of the 2012 International Conference on Reconfigurable Computing and FPGAs, 2013

Fine-Grained Manipulation of FPGA Configuration for Incremental Design.
Proceedings of the 2013 IEEE International Symposium on Parallel & Distributed Processing, 2013

An FPGA Router for Alternative Reconfiguration Flows.
Proceedings of the 2013 IEEE International Symposium on Parallel & Distributed Processing, 2013

RAW Introduction.
Proceedings of the 2013 IEEE International Symposium on Parallel & Distributed Processing, 2013

In pursuit of instant gratification for FPGA design.
Proceedings of the 23rd International Conference on Field programmable Logic and Applications, 2013

Rapid modular assembly of Xilinx FPGA designs.
Proceedings of the 23rd International Conference on Field programmable Logic and Applications, 2013

FPGA-based HPC application design for non-experts (abstract only).
Proceedings of the 2013 ACM/SIGDA International Symposium on Field Programmable Gate Arrays, 2013

FPGA meta-data management system for accelerating implementation time with incremental compilation (abstract only).
Proceedings of the 2013 ACM/SIGDA International Symposium on Field Programmable Gate Arrays, 2013

Enabling development of OpenCL applications on FPGA platforms.
Proceedings of the 24th International Conference on Application-Specific Systems, 2013

2012
RapidRadio: Signal Classification and Radio Deployment Framework.
ACM Trans. Embed. Comput. Syst., 2012

The NII Shonan Configurable Computing Workshop (NII Shonan Meeting 2012-11).
NII Shonan Meet. Rep., 2012

A Modular-Based Assembly Framework for Autonomous Reconfigurable Systems.
Proceedings of the Reconfigurable Computing: Architectures, Tools and Applications, 2012

2011
On the Implementation of a Quasi-Generic Synchronization Architecture for Linear Digital Modulations.
J. Signal Process. Syst., 2011

Spectral Method Characterization on FPGA and GPU Accelerators.
Proceedings of the 2011 International Conference on Reconfigurable Computing and FPGAs, 2011

An Analysis of Implanted Antennas in Xilinx FPGAs.
Proceedings of the 2011 International Conference on Reconfigurable Computing and FPGAs, 2011

Scalable Models for Autonomous Self-Assembled Reconfigurable Systems.
Proceedings of the 2011 International Conference on Reconfigurable Computing and FPGAs, 2011

OpenPR: An Open-Source Partial-Reconfiguration Toolkit for Xilinx FPGAs.
Proceedings of the 25th IEEE International Symposium on Parallel and Distributed Processing, 2011

ERDB: An Embedded Routing Database for Reconfigurable Systems.
Proceedings of the International Conference on Field Programmable Logic and Applications, 2011

Exploration of FPGA interconnect for the design of unconventional antennas.
Proceedings of the ACM/SIGDA 19th International Symposium on Field Programmable Gate Arrays, 2011

Torc: towards an open-source tool flow.
Proceedings of the ACM/SIGDA 19th International Symposium on Field Programmable Gate Arrays, 2011

2010
Guest Editorial ARC 2009.
ACM Trans. Reconfigurable Technol. Syst., 2010

RapidRadio: A Domain-Specific Productivity Enhancing Framework.
Int. J. Reconfigurable Comput., 2010

MetaWire: Using FPGA configuration circuitry to emulate a network-on-chip.
IET Comput. Digit. Tech., 2010

Automatic modulation classification for rapid radio deployment.
Proceedings of the 21st IEEE International Symposium on Rapid System Prototyping, 2010

Physical Layer for Spectrum-Aware Reconfigurable OFDM on an FPGA.
Proceedings of the 13th Euromicro Conference on Digital System Design, 2010

10281 Summary - Dynamically Reconfigurable Architectures.
Proceedings of the Dynamically Reconfigurable Architectures, 11.07. - 16.07.2010, 2010

10281 Abstracts Collection - Dynamically Reconfigurable Architectures.
Proceedings of the Dynamically Reconfigurable Architectures, 11.07. - 16.07.2010, 2010

2009
Slotless module-based reconfiguration of embedded FPGAs.
ACM Trans. Embed. Comput. Syst., 2009

Cognitive Radio and Networking Research at Virginia Tech.
Proc. IEEE, 2009

Enhancing the Productivity of Radio Designers with RapidRadio.
Proceedings of the ReConFig'09: 2009 International Conference on Reconfigurable Computing and FPGAs, 2009

In search of agile hardware.
Proceedings of the 19th International Conference on Field Programmable Logic and Applications, 2009

Element CXI: Exploring Element Computing in Academia.
Proceedings of the 2009 International Conference on Engineering of Reconfigurable Systems & Algorithms, 2009

Architecturally-Enforced InfoSec in a General-Purpose Self-Configurable System.
Proceedings of the 2009 Symposium on Bio-inspired Learning and Intelligent Systems for Security, 2009

2008
Dynamic Hardware Development.
Int. J. Reconfigurable Comput., 2008

An efficient run-time router for connecting modules in FPGAS.
Proceedings of the FPL 2008, 2008

Design Productivity for Configurable Computing.
Proceedings of the 2008 International Conference on Engineering of Reconfigurable Systems & Algorithms, 2008

2007
Examining the Viability of FPGA Supercomputing.
EURASIP J. Embed. Syst., 2007

Collaborative Synchronization for Signal Reinforcement in Sensor Networks.
Ad Hoc Sens. Wirel. Networks, 2007

A Holistic Approach Towards a Unified CpE Laboratory Platform.
Proceedings of the IEEE International Conference on Microelectronic Systems Education, 2007

Wires On Demand: Run-Time Communication Synthesis for Reconfigurable Computing.
Proceedings of the FPL 2007, 2007

Autonomous Computing Systems: A Proof-of-Concept.
Proceedings of the 2007 International Conference on Engineering of Reconfigurable Systems & Algorithms, 2007

Autonomous Computing Systems: A Proposed Roadmap.
Proceedings of the 2007 International Conference on Engineering of Reconfigurable Systems & Algorithms, 2007

A Sandbox for Exploring the OpenFire Processor.
Proceedings of the 2007 International Conference on Engineering of Reconfigurable Systems & Algorithms, 2007

High-Level Specification of Runtime Reconfigurable Designs.
Proceedings of the 2007 International Conference on Engineering of Reconfigurable Systems & Algorithms, 2007

Collaborative Signal Reinforcement in Sensor Networks.
Proceedings of the 21st International Conference on Advanced Information Networking and Applications (AINA 2007), 2007

Application of Self-Configurability for Autonomous, Highly-Localized Self-Regulation.
Proceedings of the Second NASA/ESA Conference on Adaptive Hardware and Systems (AHS 2007), 2007

2006
Exploring Non-Traditional Hardware-Software Interaction.
Proceedings of the 2006 IEEE International Conference on Reconfigurable Computing and FPGA's, 2006

Design and Characterization of a Hardware Encryption Management Unit for Secure Computing Platforms.
Proceedings of the 39th Hawaii International International Conference on Systems Science (HICSS-39 2006), 2006

A Methodology for Generating Application-Specific Heterogeneous Processor Arrays.
Proceedings of the 39th Hawaii International International Conference on Systems Science (HICSS-39 2006), 2006

06141 Abstracts Collection -- Dynamically Reconfigurable Architectures.
Proceedings of the Dynamically Reconfigurable Architectures, 02.04. - 07.04.2006, 2006

06141 Executive Summary -- Dynamically Reconfigurable Architectures.
Proceedings of the Dynamically Reconfigurable Architectures, 02.04. - 07.04.2006, 2006

The (empty?) Promise of FPGA Supercomputing.
Proceedings of the Dynamically Reconfigurable Architectures, 02.04. - 07.04.2006, 2006

2005
Incremental Design Methodology for Multimillion-gate Fpgas.
J. Circuits Syst. Comput., 2005

An 8-GHz Ultra Wideband Transceiver Prototyping Testbed.
Proceedings of the 16th IEEE International Workshop on Rapid System Prototyping (RSP 2005), 2005

Hardware-Software Interaction: Preliminary Observations.
Proceedings of the 19th International Parallel and Distributed Processing Symposium (IPDPS 2005), 2005

2004
A Security Policy Based upon Hardware Encryption.
Proceedings of the 37th Hawaii International Conference on System Sciences (HICSS-37 2004), 2004

JHDLBits: The Merging of Two Worlds.
Proceedings of the Field Programmable Logic and Application, 2004

A Key Management Architecture for Securing Off-Chip Data Transfers.
Proceedings of the Field Programmable Logic and Application, 2004

An Alternate Wire Database for Xilinx FPGAs.
Proceedings of the 12th IEEE Symposium on Field-Programmable Custom Computing Machines (FCCM 2004), 2004

VTSim: A Virtex-II Device Simulator.
Proceedings of the International Conference on Engineering of Reconfigurable Systems and Algorithms, 2004

2003
Context Switching in a Run-Time Reconfigurable System.
J. Supercomput., 2003

Engineering of Configurable Systems, II Guest Editor's Foreword.
J. Supercomput., 2003

Engineering of Configurable Systems: Guest Editors Foreword.
J. Supercomput., 2003

An overview of configurable computing machines for software radio handsets.
IEEE Commun. Mag., 2003

A Run-Time Reconfigurable System for Gene-Sequence Searching.
Proceedings of the 16th International Conference on VLSI Design (VLSI Design 2003), 2003

A Versatile Framework for FPGA Field Updates: An Application of Partial Self-Reconfiguation.
Proceedings of the 14th IEEE International Workshop on Rapid System Prototyping (RSP 2003), 2003

RSA encryption using Extended Modular Arithmetic on the Quicksilver COSM Adaptive Computing Machine.
Proceedings of the 11th IEEE Symposium on Field-Programmable Custom Computing Machines (FCCM 2003), 2003

A JBits-Based Incremental Design Environment with Non-Preemptive Refinement for Multi-Million Gate FPGAs.
Proceedings of the International Conference on Engineering of Reconfigurable Systems and Algorithms, June 23, 2003

2002
Reconfigurable Object Detection in FLIR Image Sequences.
Proceedings of the 10th IEEE Symposium on Field-Programmable Custom Computing Machines (FCCM 2002), 2002

Physical Support for Evolution in Reconfigurable Devices.
Proceedings of the 4th NASA / DoD Workshop on Evolvable Hardware (EH 2002), 2002

2000
Guest Editors' Introduction: Configurable Computing.
IEEE Des. Test Comput., 2000

A soft radio architecture for reconfigurable platforms.
IEEE Commun. Mag., 2000

Hardware-Software Codesign and Parallel Implementation of a Golomb Ruler Derivation Engine.
Proceedings of the 8th IEEE Symposium on Field-Programmable Custom Computing Machines (FCCM 2000), 2000

1999
Towards Active Hardware.
Proceedings of the Active Networks, First International Working Conference, 1999

A stream-based reconfigurable router prototype.
Proceedings of the 1999 IEEE International Conference on Communications: Global Convergence Through Communications, 1999

A Methodical Approach for Stream-Oriented Configurable Signal Processing.
Proceedings of the 32nd Annual Hawaii International Conference on System Sciences (HICSS-32), 1999

Implementing an API for Distributed Adaptive Computing Systems.
Proceedings of the 7th IEEE Symposium on Field-Programmable Custom Computing Machines (FCCM '99), 1999

Implementation and Evaluation of a Prototype Reconfigurable Router.
Proceedings of the 7th IEEE Symposium on Field-Programmable Custom Computing Machines (FCCM '99), 1999

1998
A Configurable Computing Approach Towards Real-Time Target Tracking.
Proceedings of the Parallel and Distributed Processing, 10 IPPS/SPDP'98 Workshops Held in Conjunction with the 12th International Parallel Processing Symposium and 9th Symposium on Parallel and Distributed Processing, Orlando, Florida, USA, March 30, 1998

A Scalable FIR Filter Using 32-bit Floating-Point Complex Arithmetic on a Configurable Computing Machine.
Proceedings of the 6th IEEE Symposium on Field-Programmable Custom Computing Machines (FCCM '98), 1998

A Stream-Based Configurable Computing Radio Testbed.
Proceedings of the 6th IEEE Symposium on Field-Programmable Custom Computing Machines (FCCM '98), 1998

A Run-Time Reconfigurable Engine for Image Interpolation.
Proceedings of the 6th IEEE Symposium on Field-Programmable Custom Computing Machines (FCCM '98), 1998

1997
Accelerating finite-difference analysis simulations with a configurable computing machine.
Microprocess. Microsystems, 1997

Stream synthesis for a wormhole run-time reconfigurable platform.
Proceedings of the Field-Programmable Logic and Applications, 7th International Workshop, 1997

Wormhole Run-Time Reconfiguration.
Proceedings of the 1997 ACM/SIGDA Fifth International Symposium on Field Programmable Gate Arrays, 1997

Computing kernels implemented with a wormhole RTR CCM.
Proceedings of the 5th IEEE Symposium on Field-Programmable Custom Computing Machines (FCCM '97), 1997

1996
High-speed 2-D convolution with a custom computing machine.
J. VLSI Signal Process., 1996

Scheduling and partitioning ANSI-C programs onto multi-FPGA CCM architectures.
Proceedings of the 4th IEEE Symposium on FPGAs for Custom Computing Machines (FCCM '96), 1996

Using rapid prototyping to teach the design of complete computing solutions.
Proceedings of the 4th IEEE Symposium on FPGAs for Custom Computing Machines (FCCM '96), 1996

1995
Real-Time Image Processing on a Custom Computing Platform.
Computer, 1995

Implementation of a 2-D Fast Fourier Transform on an FPGA-Based Custom Computing Machine.
Proceedings of the Field-Programmable Logic and Applications, 5th International Workshop, 1995

High-Speed Region Detection and Labeling Using an FPGA Based Custom Computing Platform.
Proceedings of the Field-Programmable Logic and Applications, 5th International Workshop, 1995

Quantitative analysis of floating point arithmetic on FPGA based custom computing machines.
Proceedings of the 3rd IEEE Symposium on Field-Programmable Custom Computing Machines (FCCM '95), 1995

1994
Image Processing on a Custom Computing Platform.
Proceedings of the Field-Programmable Logic, 1994

1993
Processor Reconfiguration Through Instruction-Set Metamorphosis.
Computer, 1993

1992
Distributed hidden Markov model training on loosely-coupled multiprocessor networks.
Proceedings of the 1992 IEEE International Conference on Acoustics, 1992

1991
Amstrong II: A Loosely Coupled Multiprocessor with a Reconfigurable Communications Architecture.
Proceedings of the Fifth International Parallel Processing Symposium, Proceedings, Anaheim, California, USA, April 30, 1991

An Adaptive Hardware Machine Architecture and Compiler for Dynamic Processor Reconfiguration.
Proceedings of the Proceedings 1991 IEEE International Conference on Computer Design: VLSI in Computer & Processors, 1991


  Loading...