Daisuke Ikebuchi

According to our database1, Daisuke Ikebuchi authored at least 13 papers between 2008 and 2011.

Collaborative distances:

Timeline

Legend:

Book 
In proceedings 
Article 
PhD thesis 
Dataset
Other 

Links

On csauthors.net:

Bibliography

2011
Performance, Area, and Power Evaluations of Ultrafine-Grained Run-Time Power-Gating Routers for CMPs.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2011

Cool Mega-Arrays: Ultralow-Power Reconfigurable Accelerator Chips.
IEEE Micro, 2011

Design and Implementation Fine-grained Power Gating on Microprocessor Functional Units.
IPSJ Trans. Syst. LSI Des. Methodol., 2011

A Leakage Efficient Data TLB Design for Embedded Processors.
IEICE Trans. Inf. Syst., 2011

A Leakage Efficient Instruction TLB Design for Embedded Processors.
IEICE Trans. Inf. Syst., 2011

On-chip detection methodology for break-even time of power gated function units.
Proceedings of the 2011 International Symposium on Low Power Electronics and Design, 2011

Cool Mega-Array: A highly energy efficient reconfigurable accelerator.
Proceedings of the 2011 International Conference on Field-Programmable Technology, 2011

Geyser-2: The second prototype CPU with fine-grained run-time power gating.
Proceedings of the 16th Asia South Pacific Design Automation Conference, 2011

2010
Ultra Fine-Grained Run-Time Power Gating of On-chip Routers for CMPs.
Proceedings of the NOCS 2010, 2010

Adaptive power gating for function units in a microprocessor.
Proceedings of the 11th International Symposium on Quality of Electronic Design (ISQED 2010), 2010

Reducing instruction TLB's leakage power consumption for embedded processors.
Proceedings of the International Green Computing Conference 2010, 2010

Geyser-1: a MIPS R3000 CPU core with fine-grained run-time power gating.
Proceedings of the 15th Asia South Pacific Design Automation Conference, 2010

2008
A fine-grain dynamic sleep control scheme in MIPS R3000.
Proceedings of the 26th International Conference on Computer Design, 2008


  Loading...