Hiroki Matsutani

Orcid: 0000-0001-9578-3842

According to our database1, Hiroki Matsutani authored at least 178 papers between 2005 and 2024.

Collaborative distances:
  • Dijkstra number2 of four.
  • Erdős number3 of two.

Timeline

Legend:

Book 
In proceedings 
Article 
PhD thesis 
Dataset
Other 

Links

On csauthors.net:

Bibliography

2024
FPGA-Accelerated Correspondence-free Point Cloud Registration with PointNet Features.
CoRR, 2024

A Cost-Efficient FPGA Implementation of Tiny Transformer Model using Neural ODE.
CoRR, 2024

2023
A Lightweight Reinforcement Learning Based Packet Routing Method Using Online Sequential Learning.
IEICE Trans. Inf. Syst., November, 2023

A Low-Cost Neural ODE with Depthwise Separable Convolution for Edge Domain Adaptation on FPGAs.
IEICE Trans. Inf. Syst., July, 2023

Addressing the Gap Between Training Data and Deployed Environment by On-Device Learning.
IEEE Micro, 2023

An FPGA-Based Accelerator for Graph Embedding using Sequential Training Algorithm.
CoRR, 2023

A Case for Offloading Federated Learning Server on Smart NIC.
CoRR, 2023

An Integrated FPGA Accelerator for Deep Learning-based 2D/3D Path Planning.
CoRR, 2023

Designing low-diameter interconnection networks with multi-ported host-switch graphs.
Concurr. Comput. Pract. Exp., 2023

An Efficient Accelerator for Deep Learning-based Point Cloud Registration on FPGAs.
Proceedings of the 31st Euromicro International Conference on Parallel, 2023

An Edge-Server Partitioning Method for 3D LiDAR SLAM on FPGAs.
Proceedings of the IEEE International Parallel and Distributed Processing Symposium, 2023

A Lightweight Concept Drift Detection Method for On-Device Learning on Resource-Limited Edge Devices.
Proceedings of the IEEE International Parallel and Distributed Processing Symposium, 2023

A Lightweight Transformer Model using Neural ODE for FPGAs.
Proceedings of the IEEE International Parallel and Distributed Processing Symposium, 2023

Performance Improvement of Federated Learning Server using Smart NIC.
Proceedings of the Eleventh International Symposium on Computing and Networking, CANDAR 2023, 2023

2022
A traffic-aware memory-cube network using bypassing.
Microprocess. Microsystems, April, 2022

An Overflow/Underflow-Free Fixed-Point Bit-Width Optimization Method for OS-ELM Digital Circuit.
IEICE Trans. Fundam. Electron. Commun. Comput. Sci., 2022

A Sequential Concept Drift Detection Method for On-Device Learning on Low-End Edge Devices.
CoRR, 2022

On-Device Learning: A Neural Network Based Field-Trainable Edge AI.
CoRR, 2022

A Universal LiDAR SLAM Accelerator System on Low-Cost FPGA.
IEEE Access, 2022

dsODENet: Neural ODE and Depthwise Separable Convolution for Domain Adaptation on FPGAs.
Proceedings of the 30th Euromicro International Conference on Parallel, 2022

Accelerating Distributed Deep Reinforcement Learning by In-Network Experience Sampling.
Proceedings of the 30th Euromicro International Conference on Parallel, 2022

A Packet Routing using Lightweight Reinforcement Learning Based on Online Sequential Learning.
Proceedings of the 2022 Tenth International Symposium on Computing and Networking, CANDAR 2022, 2022

Dynamic Routing Reconfiguration for Low-Latency and Deadlock-Free Interconnection Networks.
Proceedings of the Tenth International Symposium on Computing and Networking, 2022

Communication Size Reduction of Federated Learning based on Neural ODE Model.
Proceedings of the 2022 Tenth International Symposium on Computing and Networking, CANDAR 2022, 2022

ONLAD-IDS: ONLAD-Based Intrusion Detection System Using SmartNIC.
Proceedings of the 24th IEEE Int Conf on High Performance Computing & Communications; 8th Int Conf on Data Science & Systems; 20th Int Conf on Smart City; 8th Int Conf on Dependability in Sensor, 2022

P3Net: PointNet-based Path Planning on FPGA.
Proceedings of the International Conference on Field-Programmable Technology, 2022

2021
An In-Network Parameter Aggregation using DPDK for Multi-GPU Deep Learning.
Int. J. Netw. Comput., 2021

An FPGA Acceleration and Optimization Techniques for 2D LiDAR SLAM Algorithm.
IEICE Trans. Inf. Syst., 2021

An FPGA-Based Optimizer Design for Distributed Deep Learning with Multiple GPUs.
IEICE Trans. Inf. Syst., 2021

An Area-Efficient Recurrent Neural Network Core for Unsupervised Time-Series Anomaly Detection.
IEICE Trans. Electron., 2021

A DPDK-Based Acceleration Method for Experience Sampling of Distributed Reinforcement Learning.
CoRR, 2021

Particle Filter-based vs. Graph-based: SLAM Acceleration on Low-end FPGAs.
CoRR, 2021

An On-Device Federated Learning Approach for Cooperative Model Update Between Edge Devices.
IEEE Access, 2021

Low-Latency Low-Energy Memory-Cube Networks using Dual-Voltage Datapaths.
Proceedings of the 29th Euromicro International Conference on Parallel, 2021

An FPGA-Based On-Device Reinforcement Learning Approach using Online Sequential Learning.
Proceedings of the IEEE International Parallel and Distributed Processing Symposium Workshops, 2021

Accelerating ODE-Based Neural Networks on Low-Cost FPGAs.
Proceedings of the IEEE International Parallel and Distributed Processing Symposium Workshops, 2021

A unified accelerator design for LiDAR SLAM algorithms for low-end FPGAs.
Proceedings of the International Conference on Field-Programmable Technology, 2021

GPU Parallelization of All-Pairs-Shortest-Path Algorithm in Low-Degree Unweighted Regular Graph.
Proceedings of the ACIT 2021: The 8th International Virtual Conference on Applied Computing & Information Technology, Kanazawa, Japan, June 20, 2021

2020
A Neural Network-Based On-Device Learning Anomaly Detector for Edge Devices.
IEEE Trans. Computers, 2020

In-GPU Cache for Acceleration of Anomaly Detection in Blockchain.
IEICE Trans. Inf. Syst., 2020

Traffic-Independent Multi-Path Routing for High-Throughput Data Center Networks.
IEICE Trans. Inf. Syst., 2020

A Generalized Theory Based on the Turn Model for Deadlock-Free Irregular Networks.
IEICE Trans. Inf. Syst., 2020

An On-Device Federated Learning Approach for Cooperative Anomaly Detection.
CoRR, 2020

Accelerating Deep Learning using Multiple GPUs and FPGA-Based 10GbE Switch.
Proceedings of the 28th Euromicro International Conference on Parallel, 2020

Fast Semi-Supervised Anomaly Detection of Drivers' Behavior using Online Sequential Extreme Learning Machine.
Proceedings of the 23rd IEEE International Conference on Intelligent Transportation Systems, 2020

A Selective Model Aggregation Approach in Federated Learning for Online Anomaly Detection.
Proceedings of the 2020 International Conferences on Internet of Things (iThings) and IEEE Green Computing and Communications (GreenCom) and IEEE Cyber, 2020

Layout-Oriented Low-Diameter Topology for HPC Interconnection Networks.
Proceedings of the Eighth International Symposium on Computing and Networking Workshops, 2020

An Edge Attribute-Wise Partitioning and Distributed Processing of R-GCN Using GPUs.
Proceedings of the Euro-Par 2020: Parallel Processing Workshops, 2020

An Area-Efficient Implementation of Recurrent Neural Network Core for Unsupervised Anomaly Detection.
Proceedings of the 2020 IEEE Symposium in Low-Power and High-Speed Chips, 2020

2019
Designing High-Performance Interconnection Networks with Host-Switch Graphs.
IEEE Trans. Parallel Distributed Syst., 2019

An FPGA-Based Change-Point Detection for 10Gbps Packet Stream.
IEICE Trans. Inf. Syst., 2019

Deadlock-Free Layered Routing for Infiniband Networks.
Proceedings of the Seventh International Symposium on Computing and Networking Workshops, 2019

Sparse 3-D NoCs with Inductive Coupling.
Proceedings of the 56th Annual Design Automation Conference 2019, 2019

An Adaptive Abnormal Behavior Detection using Online Sequential Learning.
Proceedings of the 2019 IEEE International Conference on Computational Science and Engineering, 2019

Key-value Store Chip Design for Low Power Consumption.
Proceedings of the IEEE Symposium in Low-Power and High-Speed Chips, 2019

Performance and Cost Evaluations of Online Sequential Learning and Unsupervised Anomaly Detection Core.
Proceedings of the IEEE Symposium in Low-Power and High-Speed Chips, 2019

2018
Escalator Network for a 3D Chip Stack with Inductive Coupling ThruChip Interface.
Int. J. Netw. Comput., 2018

A Hardware-Based Caching System on FPGA NIC for Blockchain.
IEICE Trans. Inf. Syst., 2018

Proxy Responses by FPGA-Based Switch for MapReduce Stragglers.
IEICE Trans. Inf. Syst., 2018

LaKe: An Energy Efficient, Low Latency, Accelerated Key-Value Store.
CoRR, 2018

Special session on bringing cores closer together: The wireless revolution in on-chip communication.
Proceedings of the 36th IEEE VLSI Test Symposium, 2018

LaKe: The Power of In-Network Computing.
Proceedings of the 2018 International Conference on ReConFigurable Computing and FPGAs, 2018

Accelerating Blockchain Search of Full Nodes Using GPUs.
Proceedings of the 26th Euromicro International Conference on Parallel, 2018

AxNoC: Low-power Approximate Network-on-Chips using Critical-Path Isolation.
Proceedings of the Twelfth IEEE/ACM International Symposium on Networks-on-Chip, 2018

Low-Reliable Low-Latency Networks Optimized for HPC Parallel Applications.
Proceedings of the 17th IEEE International Symposium on Network Computing and Applications, 2018

Adaptive Body Bias Control Scheme for Ultra Low-Power Network-on-Chip Systems.
Proceedings of the 12th IEEE International Symposium on Embedded Multicore/Many-core Systems-on-Chip, 2018

Accelerating Blockchain Transfer System Using FPGA-Based NIC.
Proceedings of the IEEE International Conference on Parallel & Distributed Processing with Applications, 2018

Network Optimizations on Prediction Server with Multiple Predictors.
Proceedings of the IEEE International Conference on Parallel & Distributed Processing with Applications, 2018

Acceleration of Anomaly Detection in Blockchain Using In-GPU Cache.
Proceedings of the IEEE International Conference on Parallel & Distributed Processing with Applications, 2018

An Trace-Driven Performance Prediction Method for Exploring NoC Design Optimization.
Proceedings of the Sixth International Symposium on Computing and Networking, 2018

k-Optimized Path Routing for High-Throughput Data Center Networks.
Proceedings of the Sixth International Symposium on Computing and Networking, 2018

MultiMQC: A Multilevel Message Queuing Cache Combining In-NIC and In-Kernel Memories.
Proceedings of the International Conference on Field-Programmable Technology, 2018

OS-ELM-FPGA: An FPGA-Based Online Sequential Unsupervised Anomaly Detector.
Proceedings of the Euro-Par 2018: Parallel Processing Workshops, 2018

Accelerating Online Change-Point Detection Algorithm Using 10 GbE FPGA NIC.
Proceedings of the Euro-Par 2018: Parallel Processing Workshops, 2018

2017
Scalable Networks-on-Chip with Elastic Links Demarcated by Decentralized Routers.
IEEE Trans. Computers, 2017

Multilevel NoSQL Cache Combining In-NIC and In-Kernel Approaches.
IEEE Micro, 2017

High-Performance with an In-GPU Graph Database Cache.
IT Prof., 2017

A Novel Channel Assignment Method to Ensure Deadlock-Freedom for Deterministic Routing.
IEICE Trans. Inf. Syst., 2017

A Layout-Oriented Routing Method for Low-Latency HPC Networks.
IEICE Trans. Inf. Syst., 2017

An FPGA-based In-NIC Cache Approach for Lazy Learning Outlier Filtering.
Proceedings of the 25th Euromicro International Conference on Parallel, 2017

3D Layout of Spidergon, Flattened Butterfly and Dragonfly on a Chip Stack with Inductive Coupling Through Chip Interface.
Proceedings of the 14th International Symposium on Pervasive Systems, 2017

Building block multi-chip systems using inductive coupling through chip interface.
Proceedings of the International SoC Design Conference, 2017

Order/Radix Problem: Towards Low End-to-End Latency Interconnection Networks.
Proceedings of the 46th International Conference on Parallel Processing, 2017

HiRy: An Advanced Theory on Design of Deadlock-Free Adaptive Routing for Arbitrary Topologies.
Proceedings of the 23rd IEEE International Conference on Parallel and Distributed Systems, 2017

Towards Tightly-coupled Datacenter with Free-space Optical Links.
Proceedings of the 2017 International Conference on Cloud and Big Data Computing, ICCBDC 2017, London, United Kingdom, September 17, 2017

High-Bandwidth Low-Latency Approximate Interconnection Networks.
Proceedings of the 2017 IEEE International Symposium on High Performance Computer Architecture, 2017

An FPGA NIC Based Hardware Caching for Blockchain.
Proceedings of the 8th International Symposium on Highly Efficient Accelerators and Reconfigurable Technologies, 2017

A Case for Remote GPUs over 10GbE Network for VR Applications.
Proceedings of the 8th International Symposium on Highly Efficient Accelerators and Reconfigurable Technologies, 2017

In-switch approximate processing: Delayed tasks management for MapReduce applications.
Proceedings of the 27th International Conference on Field Programmable Logic and Applications, 2017

A Case for Uni-directional Network Topologies in Large-Scale Clusters.
Proceedings of the 2017 IEEE International Conference on Cluster Computing, 2017

2016
Efficient 3-D Bus Architectures for Inductive-Coupling ThruChip Interfaces.
IEEE Trans. Very Large Scale Integr. Syst., 2016

Novel Chip Stacking Methods to Extend Both Horizontally and Vertically for Many-Core Architectures with ThrouChip Interface.
IEICE Trans. Inf. Syst., 2016

Multi-Voltage Variable Pipeline Routers with the Same Clock Frequency for Low-Power Network-on-Chips Systems.
IEICE Trans. Electron., 2016

Optical network technologies for HPC: computer-architects point of view.
IEICE Electron. Express, 2016

The Future of NoCs: New Technologies and Architectures.
Proceedings of the 29th International Conference on VLSI Design and 15th International Conference on Embedded Systems, 2016

Design and implementation of hardware cache mechanism and NIC for column-oriented databases.
Proceedings of the International Conference on ReConFigurable Computing and FPGAs, 2016

Randomizing Packet Memory Networks for Low-Latency Processor-Memory Communication.
Proceedings of the 24th Euromicro International Conference on Parallel, 2016

Randomly Optimized Grid Graph for Low-Latency Interconnection Networks.
Proceedings of the 45th International Conference on Parallel Processing, 2016

Accelerating Spark RDD Operations with Local and Remote GPU Devices.
Proceedings of the 22nd IEEE International Conference on Parallel and Distributed Systems, 2016

Vertical Packet Switching Elevator Network Using Inductive Coupling ThruChip Interface.
Proceedings of the Fourth International Symposium on Computing and Networking, 2016

LOREN: A Scalable Routing Method for Layout-Conscious Random Topologies.
Proceedings of the Fourth International Symposium on Computing and Networking, 2016

A Multilevel NOSQL Cache Design Combining In-NIC and In-Kernel Caches.
Proceedings of the 24th IEEE Annual Symposium on High-Performance Interconnects, 2016

NOSQL hardware appliance with multiple data structure.
Proceedings of the 2016 IEEE Hot Chips 28 Symposium (HCS), 2016

Distributed In-GPU Data Cache for Document-Oriented Data Store via PCIe over 10 Gbit Ethernet.
Proceedings of the Euro-Par 2016: Parallel Processing Workshops, 2016

An FPGA-based low-latency network processing for spark streaming.
Proceedings of the 2016 IEEE International Conference on Big Data (IEEE BigData 2016), 2016

ACRO: Assignment of channels in reverse order to make arbitrary routing deadlock-free.
Proceedings of the 15th IEEE/ACIS International Conference on Computer and Information Science, 2016

2015
Swap-And-Randomize: A Method for Building Low-Latency HPC Interconnects.
IEEE Trans. Parallel Distributed Syst., 2015

A Line Rate Outlier Filtering FPGA NIC using 10GbE Interface.
SIGARCH Comput. Archit. News, 2015

Performance Evaluations of Document-Oriented Databases Using GPU and Cache Structure.
Proceedings of the 2015 IEEE TrustCom/BigDataSE/ISPA, 2015

Optimized Core-Links for Low-Latency NoCs.
Proceedings of the 23rd Euromicro International Conference on Parallel, 2015

On-Chip Decentralized Routers with Balanced Pipelines for Avoiding Interconnect Bottleneck.
Proceedings of the 9th International Symposium on Networks-on-Chip, 2015

3D Shared Bus Architecture Using Inductive Coupling Interconnect.
Proceedings of the IEEE 9th International Symposium on Embedded Multicore/Many-core Systems-on-Chip, 2015

Expandable Chip Stacking Method for Many-core Architectures Consisting of Tiny Chips.
Proceedings of the IEEE 9th International Symposium on Embedded Multicore/Many-core Systems-on-Chip, 2015

Augmenting low-latency HPC network with free-space optical links.
Proceedings of the 21st IEEE International Symposium on High Performance Computer Architecture, 2015

A metamorphotic Network-on-Chip for various types of parallel applications.
Proceedings of the 26th IEEE International Conference on Application-specific Systems, 2015

2014
3D NoC with Inductive-Coupling Links for Building-Block SiPs.
IEEE Trans. Computers, 2014

Performance Evaluations of Graph Database using CUDA and OpenMP Compatible Libraries.
SIGARCH Comput. Archit. News, 2014

Dynamic Power Consumption Optimization for Inductive-Coupling based Wireless 3D NoCs.
IPSJ Trans. Syst. LSI Des. Methodol., 2014

Introduction to the special session on "Interconnect enhances architecture: Evolution of wireless NoC from planar to 3D".
Proceedings of the Eighth IEEE/ACM International Symposium on Networks-on-Chip, 2014

Skywalk: A Topology for HPC Networks with Low-Delay Switches.
Proceedings of the 2014 IEEE 28th International Parallel and Distributed Processing Symposium, 2014

Low-latency wireless 3D NoCs via randomized shortcut chips.
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2014

2013
A Scalable 3D Heterogeneous Multicore with an Inductive ThruChip Interface.
IEEE Micro, 2013

Vertical Link On/Off Regulations for Inductive-Coupling Based Wireless 3-D NoCs.
IEICE Trans. Inf. Syst., 2013

Headfirst sliding routing: A time-based routing scheme for bus-NoC hybrid 3-D architecture.
Proceedings of the 2013 Seventh IEEE/ACM International Symposium on Networks-on-Chip (NoCS), 2013

A Routing Strategy for Inductive-Coupling Based Wireless 3-D NoCs by Maximizing Topological Regularity.
Proceedings of the Algorithms and Architectures for Parallel Processing, 2013

Research Challenges on 2-D and 3-D Network-on-Chips.
Proceedings of the First International Symposium on Computing and Networking, 2013

Layout-conscious random topologies for HPC off-chip interconnects.
Proceedings of the 19th IEEE International Symposium on High Performance Computer Architecture, 2013

A scalable 3D heterogeneous multi-core processor with inductive-coupling thruchip interface.
Proceedings of the 2013 IEEE Hot Chips 25 Symposium (HCS), 2013

Demonstration of a heterogeneous multi-core processor with 3-D inductive coupling links.
Proceedings of the 23rd International Conference on Field programmable Logic and Applications, 2013

Dynamic power on/off method for 3D NoCs with wireless inductive-coupling links.
Proceedings of the 2013 IEEE Symposium on Low-Power and High-Speed Chips, 2013

A case for wireless 3D NoCs for CMPs.
Proceedings of the 18th Asia and South Pacific Design Automation Conference, 2013

2012
The Dependable Responsive Multithreaded Processor for Distributed Real-Time Systems.
IEEE Micro, 2012

Fine-Grained Power Control Using A Multi-Voltage Variable Pipeline Router.
Proceedings of the IEEE 6th International Symposium on Embedded Multicore/Manycore SoCs, 2012

A case for random shortcut topologies for HPC interconnects.
Proceedings of the 39th International Symposium on Computer Architecture (ISCA 2012), 2012

Dynamic power control with a heterogeneous multi-core system using a 3-D wireless inductive coupling interconnect.
Proceedings of the 2012 International Conference on Field-Programmable Technology, 2012

CMA-Cube: A scalable reconfigurable accelerator with 3-D wireless inductive coupling interconnect.
Proceedings of the 22nd International Conference on Field Programmable Logic and Applications (FPL), 2012

Dependable Responsive Multithreaded Processor for distributed real-time systems.
Proceedings of the 2012 IEEE Symposium on Low-Power and High-Speed Chips, 2012

A multi-Vdd dynamic variable-pipeline on-chip router for CMPs.
Proceedings of the 17th Asia and South Pacific Design Automation Conference, 2012

Vertical Link On/Off Control Methods for Wireless 3-D NoCs.
Proceedings of the Architecture of Computing Systems - ARCS 2012 - 25th International Conference, Munich, Germany, February 28, 2012

2011
3-D NoC on Inductive Wireless Interconnect.
Proceedings of the 3D Integration for NoC-based SoC Architectures, 2011

Performance, Area, and Power Evaluations of Ultrafine-Grained Run-Time Power-Gating Routers for CMPs.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2011

Prediction Router: A Low-Latency On-Chip Router Architecture with Multiple Predictors.
IEEE Trans. Computers, 2011

An Efficient Path Setup for a Hybrid Photonic Network-on-Chip.
Int. J. Netw. Comput., 2011

A Dynamic Link-Width Optimization for Network-on-Chip.
Proceedings of the 17th IEEE International Conference on Embedded and Real-Time Computing Systems and Applications, 2011

Design and Implementation of On-Chip Adaptive Router with Predictor for Regional Congestion.
Proceedings of the 17th IEEE International Conference on Embedded and Real-Time Computing Systems and Applications, 2011

Dynamic Voltage and Frequency Scaling for Real-Time Scheduling on a Prioritized SMT Processor.
Proceedings of the 17th IEEE International Conference on Embedded and Real-Time Computing Systems and Applications, 2011

A vertical bubble flow network using inductive-coupling for 3-D CMPs.
Proceedings of the NOCS 2011, 2011

Performance Evaluation of Power-Aware Multi-tree Ethernet for HPC Interconnects.
Proceedings of the Second International Conference on Networking and Computing, 2011

Run-Time Power-Gating Techniques for Low-Power On-Chip Networks.
Proceedings of the Low Power Networks-on-Chip., 2011

2010
Ultra Fine-Grained Run-Time Power Gating of On-chip Routers for CMPs.
Proceedings of the NOCS 2010, 2010

A Deadlock-Free Non-minimal Fully Adaptive Routing Using Virtual Cut-Through Switching.
Proceedings of the Fifth International Conference on Networking, Architecture, and Storage, 2010

Stabilizing Path Modification of Power-Aware On/Off Interconnection Networks.
Proceedings of the Fifth International Conference on Networking, Architecture, and Storage, 2010

A variable-pipeline on-chip router optimized to traffic pattern.
Proceedings of the Third International Workshop on Network on Chip Architectures, 2010

An Efficient Path Setup for a Photonic Network-on-Chip.
Proceedings of the First International Conference on Networking and Computing, 2010

2009
Fat H-Tree: A Cost-Efficient Tree-Based On-Chip Network.
IEEE Trans. Parallel Distributed Syst., 2009

A Link Removal Methodology for Application-Specific Networks-on-Chip on FPGAs.
IEICE Trans. Inf. Syst., 2009

An On/Off Link Activation Method for Power Regulation in InfiniBand.
Proceedings of the International Conference on Parallel and Distributed Processing Techniques and Applications, 2009

Evaluation of a multicore reconfigurable architecture with variable core sizes.
Proceedings of the 23rd IEEE International Symposium on Parallel and Distributed Processing, 2009

An on/off link activation method for low-power ethernet in PC clusters.
Proceedings of the 23rd IEEE International Symposium on Parallel and Distributed Processing, 2009

Balanced Dimension-Order Routing for k-ary n-cubes.
Proceedings of the ICPPW 2009, 2009

Prediction router: Yet another low latency on-chip router architecture.
Proceedings of the 15th International Conference on High-Performance Computer Architecture (HPCA-15 2009), 2009

MuCCRA-Cube: A 3D dynamically reconfigurable processor with inductive-coupling link.
Proceedings of the 19th International Conference on Field Programmable Logic and Applications, 2009

2008
Adding Slow-Silent Virtual Channels for Low-Power On-Chip Networks.
Proceedings of the Second International Symposium on Networks-on-Chips, 2008

A Lightweight Fault-Tolerant Mechanism for Network-on-Chip.
Proceedings of the Second International Symposium on Networks-on-Chips, 2008

Three-Dimensional Layout of On-Chip Tree-Based Networks.
Proceedings of the 9th International Symposium on Parallel Architectures, 2008

A link removal methodology for Networks-on-Chip on reconfigurable systems.
Proceedings of the FPL 2008, 2008

Run-time power gating of on-chip routers using look-ahead routing.
Proceedings of the 13th Asia South Pacific Design Automation Conference, 2008

2007
A Port Combination Methodology for Application-Specific Networks-on-Chip on FPGAs.
IEICE Trans. Inf. Syst., 2007

Performance, Cost, and Energy Evaluation of Fat H-Tree: A Cost-Efficient Tree-Based On-Chip Network.
Proceedings of the 21th International Parallel and Distributed Processing Symposium (IPDPS 2007), 2007

Tightly-Coupled Multi-Layer Topologies for 3-D NoCs.
Proceedings of the 2007 International Conference on Parallel Processing (ICPP 2007), 2007

A Temporal Correlation Based Port Combination Methodology for Networks-on-chip on Reconfigurable Systems.
Proceedings of the FPL 2007, 2007

2006
Enforcing Dimension-Order Routing in On-Chip Torus Networks Without Virtual Channels.
Proceedings of the Parallel and Distributed Processing and Applications, 2006

A Parametric Study of Scalable Interconnects on FPGAs.
Proceedings of the 2006 International Conference on Engineering of Reconfigurable Systems & Algorithms, 2006

A Virtual-Channel Free Mapping for Application-Specific On-Chip Torus Networks.
Proceedings of the ISCA 19th International Conference on Parallel and Distributed Computing Systems, 2006

2005
Destination Bundle: A Routing Table Reduction Technique for Distributed Routing on Dependable Networks-on-Chips.
Proceedings of the International Conference on Parallel and Distributed Processing Techniques and Applications, 2005

Non-Minimal Routing Strategy for Application-Specific Networks-on-Chips.
Proceedings of the 34th International Conference on Parallel Processing Workshops (ICPP 2005 Workshops), 2005

Mobile Gateways for Mobile Ad-Hoc Networks with Network Mobility Support.
Proceedings of the Networking, 2005

An Adaptive Cryptographic Accelerator for IPsec on Dynamically Reconfigurable Processor.
Proceedings of the 2005 IEEE International Conference on Field-Programmable Technology, 2005


  Loading...