Masaaki Kondo

Orcid: 0000-0002-6025-8738

According to our database1, Masaaki Kondo authored at least 105 papers between 2000 and 2023.

Collaborative distances:

Timeline

Legend:

Book 
In proceedings 
Article 
PhD thesis 
Dataset
Other 

Links

On csauthors.net:

Bibliography

2023
Adaptive Lossy Data Compression Extended Architecture for Memory Bandwidth Conservation in SpMV.
IEICE Trans. Inf. Syst., December, 2023

Evaluation of Performance and Power Consumption on Supercomputer Fugaku Using SPEC HPC Benchmarks.
IEICE Trans. Electron., June, 2023

An Interactive and Reductive Graph Processing Library for Edge Computing in Smart Society.
IEICE Trans. Inf. Syst., March, 2023

An Edge-Cloud Collaboration Framework for Graph Processing in Smart Society.
IEEE Trans. Emerg. Top. Comput., 2023

Addressing the Gap Between Training Data and Deployed Environment by On-Device Learning.
IEEE Micro, 2023

A Scalable Body Bias Optimization Method Toward Low-Power CGRAs.
IEEE Micro, 2023

An edge re-ordering based acceleration architecture for improving data locality in graph analytics applications.
Microprocess. Microsystems, 2023

DAISM: Digital Approximate In-SRAM Multiplier-based Accelerator for DNN Training and Inference.
CoRR, 2023

Quantum Circuit Fidelity Improvement with Long Short-Term Memory Networks.
CoRR, 2023

Accelerating Graph-Based SLAM through Data Parallelism and Mixed Precision on FPGAs.
Proceedings of the 16th IEEE International Symposium on Embedded Multicore/Many-core Systems-on-Chip, 2023

Enhancing Deep Reinforcement Learning with Compressed Sensing-based State Estimation.
Proceedings of the 16th IEEE International Symposium on Embedded Multicore/Many-core Systems-on-Chip, 2023

Exploiting Data Parallelism in Graph-Based Simultaneous Localization and Mapping: A Case Study with GPU Accelerations.
Proceedings of the International Conference on High Performance Computing in Asia-Pacific Region, 2023

2022
Enabling circuit-switching in modern on-chip networks.
Microprocess. Microsystems, November, 2022

NEO-QEC: Neural Network Enhanced Online Superconducting Decoder for Surface Codes.
CoRR, 2022

On-Device Learning: A Neural Network Based Field-Trainable Edge AI.
CoRR, 2022

GraphDEAR: An Accelerator Architecture for Exploiting Cache Locality in Graph Analytics Applications.
Proceedings of the 30th Euromicro International Conference on Parallel, 2022

Memory Bandwidth Conservation for SpMV Kernels Through Adaptive Lossy Data Compression.
Proceedings of the Parallel and Distributed Computing, Applications and Technologies, 2022

FAWS: Fault-Aware Weight Scheduler for DNN Computations in Heterogeneous and Faulty Hardware.
Proceedings of the IEEE Intl Conf on Parallel & Distributed Processing with Applications, 2022

Interactive and Reliable Graph Processing via the Edge-Cloud Collaboration Framework.
Proceedings of the 24th IEEE Int Conf on High Performance Computing & Communications; 8th Int Conf on Data Science & Systems; 20th Int Conf on Smart City; 8th Int Conf on Dependability in Sensor, 2022

ONLAD-IDS: ONLAD-Based Intrusion Detection System Using SmartNIC.
Proceedings of the 24th IEEE Int Conf on High Performance Computing & Communications; 8th Int Conf on Data Science & Systems; 20th Int Conf on Smart City; 8th Int Conf on Dependability in Sensor, 2022

QULATIS: A Quantum Error Correction Methodology toward Lattice Surgery.
Proceedings of the IEEE International Symposium on High-Performance Computer Architecture, 2022

Hash Distributed A* on an FPGA.
Proceedings of the HEART 2022: International Symposium on Highly-Efficient Accelerators and Reconfigurable Technologies, Tsukuba, Japan, June 9, 2022

Body Bias Control on a CGRA based on Convex Optimization.
Proceedings of the IEEE Symposium in Low-Power and High-Speed Chips, 2022

2021
Efficient and Precise Profiling, Modeling and Management on Power and Performance for Power Constrained HPC Systems.
IEICE Trans. Electron., 2021

Adaptive Resource Management for HPC Systems (Dagstuhl Seminar 21441).
Dagstuhl Reports, 2021

MLMG: Multi-Local and Multi-Global Model Aggregation for Federated Learning.
Proceedings of the 19th IEEE International Conference on Pervasive Computing and Communications Workshops and other Affiliated Events, 2021

Density-Based Data Selection and Management for Edge Computing.
Proceedings of the 19th IEEE International Conference on Pervasive Computing and Communications, 2021

Local Traffic-Based Energy-Efficient Hybrid Switching for On-Chip Networks.
Proceedings of the 29th Euromicro International Conference on Parallel, 2021

Multi-objective Reinforcement Learning for Energy Harvesting Wireless Sensor Nodes.
Proceedings of the 14th IEEE International Symposium on Embedded Multicore/Many-core Systems-on-Chip, 2021

Path Planning and Moving Obstacle Avoidance with Neuromorphic Computing.
Proceedings of the IEEE International Conference on Intelligence and Safety for Robotics, 2021

A Lightweight Interactive Graph Processing Library for Edge Computing in Smart Society.
Proceedings of the Ninth International Symposium on Computing and Networking, 2021

Mitigating Process Variations with Cooperative Tuning for Performance and Power through a Simple DSL.
Proceedings of the Ninth International Symposium on Computing and Networking, 2021

QECOOL: On-Line Quantum Error Correction with a Superconducting Decoder for Surface Code.
Proceedings of the 58th ACM/IEEE Design Automation Conference, 2021

Evaluation of SPEC CPU and SPEC OMP on the A64FX.
Proceedings of the IEEE International Conference on Cluster Computing, 2021

2020
A Neural Network-Based On-Device Learning Anomaly Detector for Edge Devices.
IEEE Trans. Computers, 2020

Fast Semi-Supervised Anomaly Detection of Drivers' Behavior using Online Sequential Extreme Learning Machine.
Proceedings of the 23rd IEEE International Conference on Intelligent Transportation Systems, 2020

A Selective Model Aggregation Approach in Federated Learning for Online Anomaly Detection.
Proceedings of the 2020 International Conferences on Internet of Things (iThings) and IEEE Green Computing and Communications (GreenCom) and IEEE Cyber, 2020

The Effectiveness of Low-Precision Floating Arithmetic on Numerical Codes: A Case Study on Power Consumption.
Proceedings of the International Conference on High Performance Computing in Asia-Pacific Region, 2020

Energy-Efficient On-Chip Networks through Profiled Hybrid Switching.
Proceedings of the GLSVLSI '20: Great Lakes Symposium on VLSI 2020, 2020

2019
Generation High resolution 3D model from natural language by Generative Adversarial Network.
CoRR, 2019

A Preliminary Evaluation of Building Block Computing Systems.
Proceedings of the 13th IEEE International Symposium on Embedded Multicore/Many-core Systems-on-Chip, 2019

Power Management of Wireless Sensor Nodes with Coordinated Distributed Reinforcement Learning.
Proceedings of the 37th IEEE International Conference on Computer Design, 2019

An Adaptive Abnormal Behavior Detection using Online Sequential Learning.
Proceedings of the 2019 IEEE International Conference on Computational Science and Engineering, 2019

2018
A new device for fiducial registration of image-guided navigation system for liver RFA.
Int. J. Comput. Assist. Radiol. Surg., 2018

A Power Management Framework with Simple DSL for Automatic Power-Performance Optimization on Power-Constrained HPC Systems.
Proceedings of the Supercomputing Frontiers - 4th Asian Conference, 2018

Analyzing Resource Trade-offs in Hardware Overprovisioned Supercomputers.
Proceedings of the 2018 IEEE International Parallel and Distributed Processing Symposium, 2018

OS-ELM-FPGA: An FPGA-Based Online Sequential Unsupervised Anomaly Detector.
Proceedings of the Euro-Par 2018: Parallel Processing Workshops, 2018

2017
Adaptive Power Management in Solar Energy Harvesting Sensor Node Using Reinforcement Learning.
ACM Trans. Embed. Comput. Syst., 2017

The Design and Implementation of Scalable Deep Neural Network Accelerator Cores.
Proceedings of the 11th IEEE International Symposium on Embedded Multicore/Many-core Systems-on-Chip, 2017

Scalable deep neural network accelerator cores with cubic integration using through chip interface.
Proceedings of the International SoC Design Conference, 2017

Building block multi-chip systems using inductive coupling through chip interface.
Proceedings of the International SoC Design Conference, 2017

Production Hardware Overprovisioning: Real-World Performance Optimization Using an Extensible Power-Aware Resource Management Framework.
Proceedings of the 2017 IEEE International Parallel and Distributed Processing Symposium, 2017

Cooling-Aware Job Scheduling and Node Allocation for Overprovisioned HPC Systems.
Proceedings of the 2017 IEEE International Parallel and Distributed Processing Symposium, 2017

2016
An Operating System Guided Fine-Grained Power Gating Control Based on Runtime Characteristics of Applications.
IEICE Trans. Electron., 2016

A Runtime Optimization Selection Framework to Realize Energy Efficient Networks-on-Chip.
IEICE Trans. Inf. Syst., 2016

Opportunistic circuit-switching for energy efficient on-chip networks.
Proceedings of the 2016 IFIP/IEEE International Conference on Very Large Scale Integration, 2016

Demand-Aware Power Management for Power-Constrained HPC Systems.
Proceedings of the IEEE/ACM 16th International Symposium on Cluster, 2016

2015
A Fine-Grained Power Gating Control on Linux Monitoring Power Consumption of Processor Functional Units.
IEICE Trans. Electron., 2015

Analyzing and mitigating the impact of manufacturing variability in power-constrained supercomputing.
Proceedings of the International Conference for High Performance Computing, 2015

Runtime multi-optimizations for energy efficient on-chip interconnections1.
Proceedings of the 33rd IEEE International Conference on Computer Design, 2015

A flexible hardware barrier mechanism for many-core processors.
Proceedings of the 20th Asia and South Pacific Design Automation Conference, 2015

2014
Unbalanced buffer tree synthesis to suppress ground bounce for fine-grain power gating.
Proceedings of the 2014 International Symposium on System-on-Chip, 2014

Design and evaluation of fine-grained power-gating for embedded microprocessors.
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2014

Design and control methodology for fine grain power gating based on energy characterization and code profiling of microprocessors.
Proceedings of the 19th Asia and South Pacific Design Automation Conference, 2014

2013
A Scalable 3D Heterogeneous Multicore with an Inductive ThruChip Interface.
IEEE Micro, 2013

A Prototype System for Many-Core Architecture SMYLEref with FPGA Evaluation Boards.
IEICE Trans. Inf. Syst., 2013

Fine-Grained Run-Tume Power Gating through Co-optimization of Circuit, Architecture, and System Software Design.
IEICE Trans. Electron., 2013

A scalable 3D heterogeneous multi-core processor with inductive-coupling thruchip interface.
Proceedings of the 2013 IEEE Hot Chips 25 Symposium (HCS), 2013

Demonstration of a heterogeneous multi-core processor with 3-D inductive coupling links.
Proceedings of the 23rd International Conference on Field programmable Logic and Applications, 2013

SMYLEref: A reference architecture for manycore-processor SoCs.
Proceedings of the 18th Asia and South Pacific Design Automation Conference, 2013

2012
Geyser.
Proceedings of the Handbook of Energy-Aware and Green Computing - Two Volume Set., 2012

Dynamic power control with a heterogeneous multi-core system using a 3-D wireless inductive coupling interconnect.
Proceedings of the 2012 International Conference on Field-Programmable Technology, 2012

CMA-Cube: A scalable reconfigurable accelerator with 3-D wireless inductive coupling interconnect.
Proceedings of the 22nd International Conference on Field Programmable Logic and Applications (FPL), 2012

FLAT: a GPU programming framework to provide embedded MPI.
Proceedings of the 5th Annual Workshop on General Purpose Processing with Graphics Processing Units, 2012

2011
Cool Mega-Arrays: Ultralow-Power Reconfigurable Accelerator Chips.
IEEE Micro, 2011

Design and Implementation Fine-grained Power Gating on Microprocessor Functional Units.
IPSJ Trans. Syst. LSI Des. Methodol., 2011

Cool Mega-Array: A highly energy efficient reconfigurable accelerator.
Proceedings of the 2011 International Conference on Field-Programmable Technology, 2011

SLD-1(Silent Large Datapath): A ultra low power reconfigurable accelerator.
Proceedings of the 2011 IEEE Symposium on Low-Power and High-Speed Chips, 2011

Geyser-2: The second prototype CPU with fine-grained run-time power gating.
Proceedings of the 16th Asia South Pacific Design Automation Conference, 2011

2010
Adaptive power gating for function units in a microprocessor.
Proceedings of the 11th International Symposium on Quality of Electronic Design (ISQED 2010), 2010

Geyser-1: a MIPS R3000 CPU core with fine-grained run-time power gating.
Proceedings of the 15th Asia South Pacific Design Automation Conference, 2010

2009
Energy-Efficient Dynamic Instruction Scheduling Logic Through Instruction Grouping.
IEEE Trans. Very Large Scale Integr. Syst., 2009

Design and Implementation of Fine-Grain Power Gating with Ground Bounce Suppression.
Proceedings of the VLSI Design 2009: Improving Productivity through Higher Abstraction, 2009

Cooperative shared resource access control for low-power chip multiprocessors.
Proceedings of the 2009 International Symposium on Low Power Electronics and Design, 2009

Power-performance modeling of heterogeneous cluster-based web servers.
Proceedings of the 2009 10th IEEE/ACM International Conference on Grid Computing, 2009

2008
A fine-grain dynamic sleep control scheme in MIPS R3000.
Proceedings of the 26th International Conference on Computer Design, 2008

2007
Improving fairness, throughput and energy-efficiency on a chip multiprocessor through DVFS.
SIGARCH Comput. Archit. News, 2007

A High Performance Cluster System Design by Adaptie Power Control.
Proceedings of the 21th International Parallel and Distributed Processing Symposium (IPDPS 2007), 2007

Power reduction of chip multi-processors using shared resource control cooperating with DVFS.
Proceedings of the 25th International Conference on Computer Design, 2007

Interactive presentation: Task scheduling under performance constraints for reducing the energy consumption of the GALS multi-processor SoC.
Proceedings of the 2007 Design, Automation and Test in Europe Conference and Exposition, 2007

An intra-task dvfs technique based on statistical analysis of hardware events.
Proceedings of the 4th Conference on Computing Frontiers, 2007

2006
Design Method of High Performance and Low Power Functional Units Considering Delay Variations.
IEICE Trans. Fundam. Electron. Commun. Comput. Sci., 2006

2005
Dynamic Instruction Cascading on GALS Microprocessors.
Proceedings of the Integrated Circuit and System Design, 2005

Empirical Study for Optimization of Power-Performance with On-Chip Memory.
Proceedings of the High-Performance Computing - 6th International Symposium, 2005

A Small, Fast and Low-Power Register File by Bit-Partitioning.
Proceedings of the 11th International Conference on High-Performance Computer Architecture (HPCA-11 2005), 2005

2004
SCIMA-SMP: on-chip memory processor architecture for SMP.
Proceedings of the 3rd Workshop on Memory Performance Issues, 2004

Skewed Checkpointing for Tolerating Multi-Node Failures.
Proceedings of the 23rd International Symposium on Reliable Distributed Systems (SRDS 2004), 2004

Dynamic Processor Throttling for Power Efficient Computations.
Proceedings of the Power-Aware Computer Systems, 4th International Workshop, 2004

Data Movement Optimization for Software-Controlled On-Chip Memory.
Proceedings of the 8th Annual Workshop on Interaction between Compilers and Computer Architecture (INTERACT-8 2004), 2004

2002
Software-controlled on-chip memory for high-performance and low-power computing.
SIGARCH Comput. Archit. News, 2002

Cache Line Impact on 3D PDE Solvers.
Proceedings of the High Performance Computing, 4th International Symposium, 2002

Design and evaluation of high performance microprocessor with reconfigurable on-chip memory.
Proceedings of the IEEE Asia Pacific Conference on Circuits and Systems 2002, 2002

2000
Software Controlled Reconfigurable On-Chip Memory for High Performance Computing.
Proceedings of the Intelligent Memory Systems, Second International Workshop, 2000

SCIMA: Software Controlled Integrated Memory Architecture for High Performance Computing.
Proceedings of the IEEE International Conference On Computer Design: VLSI In Computers & Processors, 2000

An acoustic measure for predicting recognition performance degradation.
Proceedings of the IEEE International Conference on Acoustics, 2000


  Loading...