Dongsheng Liu

This page is a disambiguation page, it actually contains mutiple papers from persons of the same or a similar name.

Bibliography

2024
A High Speed Post-Quantum Crypto-Processor for Crystals-Dilithium.
IEEE Trans. Circuits Syst. II Express Briefs, January, 2024

A 2.9 MHz low power RC relaxation oscillator with delay equivalent electric charges storage and fully covered trimming strategy.
Microelectron. J., January, 2024

Live streaming selling strategies of online retailers with spillover effects.
Electron. Commer. Res. Appl., January, 2024

Variational encoding based on factorized temporal-channel fusion and feature fusion for interpretable remaining useful life prediction.
Adv. Eng. Informatics, 2024

2023
A 0.4-to-0.8 V 0.1-to-5 MS/s 10 b two-step SAR ADC with TDC-based fine quantizer in 40-nm CMOS.
Microelectron. J., November, 2023

Epileptic Seizure Prediction Using Attention Augmented Convolutional Network.
Int. J. Neural Syst., November, 2023

Detect occluded items in X-ray baggage inspection.
Comput. Graph., October, 2023

A 12-bit single slope ADC with multi-step structure and ramp calibration technique for image sensors.
Microelectron. J., September, 2023

Fractional Spurs Reduction Technique Using Probability Density Shaping Sigma-Delta Modulator and Fractional Frequency Divider.
IEEE Trans. Circuits Syst. II Express Briefs, February, 2023

A Fractional-<i>N C</i>P-PLL with fast two-point modulation calibration using duty-cycle and polarity tracking technique in 110-nm CMOS.
Microelectron. J., February, 2023

Design of anchor boxes and data augmentation for transformer-based vehicle localization.
J. Vis. Commun. Image Represent., February, 2023

Compression artifact reduction of low bit-rate videos via deep neural networks using self-similarity prior.
IET Image Process., February, 2023

A Flexible and High-Performance Lattice-Based Post-Quantum Crypto Secure Coprocessor.
IEEE Trans. Ind. Informatics, 2023

A DTC-based Fractional-<i>N</i> DPLL using probability-density-shaping spur immunity and Q-noise reduction techniques for IoT applications.
Microelectron. J., 2023

Uncertainty Region Discovery and Model Refinement for Domain Adaptation in Road Detection.
IEEE Intell. Transp. Syst. Mag., 2023

Study on Power-assisted Performance of Joint Drive with Pump Controlled Hydraulic Unit for Lower Extremity Exoskeleton.
Proceedings of the IEEE International Conference on Real-time Computing and Robotics, 2023

Multi-Probability Hash-based Random Number Generator for Post-Quantum Cryptography.
Proceedings of the 66th IEEE International Midwest Symposium on Circuits and Systems, 2023

Hybrid Hardware-Software Architecture for Quantum Secure IoT Embedded Systems.
Proceedings of the 16th IEEE International Symposium on Embedded Multicore/Many-core Systems-on-Chip, 2023

Flexible and Efficient Implementation of CRYSTALS-KYBER SIMD RISC-V Coprocessor Based on Customized Vector Instruction-Set Extension.
Proceedings of the IEEE Asian Solid-State Circuits Conference, 2023

A 40nm $\boldsymbol{2.76}\boldsymbol{\mu}\mathbf{J}/\mathbf{Op}$ Energy-Efficient Secure Post-Quantum Crypto-Processor for Crystals-Kyber on Module-LWE.
Proceedings of the IEEE Asian Solid-State Circuits Conference, 2023

A DC Offset Cancellation Circuit Using Digital Assistance Technique and Self-Calibrating Comparator for RF Transceiver.
Proceedings of the 15th IEEE International Conference on ASIC, 2023

2022
3D Tooth Instance Segmentation Learning Objectness and Affinity in Point Cloud.
ACM Trans. Multim. Comput. Commun. Appl., 2022

An Efficient Unstructured Sparse Convolutional Neural Network Accelerator for Wearable ECG Classification Device.
IEEE Trans. Circuits Syst. I Regul. Pap., 2022

Heterogeneous data fusion and loss function design for tooth point cloud segmentation.
Neural Comput. Appl., 2022

Handling occlusion in prohibited item detection from X-ray images.
Neural Comput. Appl., 2022

A 640×512 ROIC with optimized BDI input stage and low power output buffer for CQDs-based infrared image sensor.
Microelectron. J., 2022

A 325-μW step-16 digital-sensor based on dual-delay-chain in 180-nm CMOS.
Microelectron. J., 2022

Efficient hardware design of a deep U-net model for pixel-level ECG classification in healthcare device.
Microelectron. J., 2022

Semi-Automatic Extraction and Mapping of Farmlands Based on High-Resolution Remote Sensing Images.
Int. J. Pattern Recognit. Artif. Intell., 2022

Coastline Extraction from GF-3 SAR Images Using LKDACM and GMM Algorithms.
Int. J. Pattern Recognit. Artif. Intell., 2022

KEADA: Identifying Key Classes in Software Systems Using Dynamic Analysis and Entropy-Based Metrics.
Entropy, 2022

Time series data augmentation method of small sample based on optimized generative adversarial network.
Concurr. Comput. Pract. Exp., 2022

3M-CDNet-V2: An Efficient Medium-Weight Neural Network for Remote Sensing Image Change Detection.
IEEE Access, 2022

A Lightweight and Efficient Schoolbook Polynomial Multiplier for Saber.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2022

An Instruction-configurable Post-quantum Cryptographic Processor towards NTRU.
Proceedings of the Asian Hardware Oriented Security and Trust Symposium, 2022

Lightweight and Efficient Hardware Implementation for Saber Using NTT Multiplication.
Proceedings of the IEEE Asia Pacific Conference on Circuit and Systems, 2022

A High Throughput and Configurable Pseudo-random Number Extension Generator for Lattice-based Post-quantum Cryptography.
Proceedings of the IEEE Asia Pacific Conference on Circuit and Systems, 2022

2021
Efficient Hardware Architecture of Convolutional Neural Network for ECG Classification in Wearable Healthcare Device.
IEEE Trans. Circuits Syst. I Regul. Pap., 2021

Integration of Fine Model-Based Decomposition and Guard Filter for Ship Detection in PolSAR Images.
Sensors, 2021

A 433/2400 MHz dual-band frequency synthesizer with glitch-free phase-interpolated frequency divider and hybrid post-synthesizer.
Microelectron. J., 2021

An accurate ISF-based analysis and simulation method for phase noise in LC/Ring oscillators.
Microelectron. J., 2021

The Effect of Nano-Silica Modified With Silane Coupling Agents on the Diffusion Behavior of Water Molecules in Palm Oil Based on Molecular Simulation.
IEEE Access, 2021

Towards Efficient Hardware Implementation of NTT for Kyber on FPGAs.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2021

A Lightweight and Multi-Scale CNN Model for Land-Cover Classification with High-Resolution Remote Sensing Images.
Proceedings of the IEEE International Geoscience and Remote Sensing Symposium, 2021

Autonomous Navigation of an Ultrasound Probe Towards Standard Scan Planes with Deep Reinforcement Learning.
Proceedings of the IEEE International Conference on Robotics and Automation, 2021

An Efficient Hardware Architecture for Epileptic Seizure Detection using EEG Signals based on 1D-CNN.
Proceedings of the 14th IEEE International Conference on ASIC, 2021

2020
Architecture of Cobweb-Based Redundant TSV for Clustered Faults.
IEEE Trans. Very Large Scale Integr. Syst., 2020

A 0.045- to 2.5-GHz Frequency Synthesizer With TDC-Based AFC and Phase Switching Multi-Modulus Divider.
IEEE Trans. Circuits Syst., 2020

Rate Maximization for Suspicious Multicast Communication Networks with Full-Duplex Proactive Monitoring.
Mob. Inf. Syst., 2020

A Flexible and Generic Gaussian Sampler With Power Side-Channel Countermeasures for Quantum-Secure Internet of Things.
IEEE Internet Things J., 2020

Research on Sentiment Tendency and Evolution of Public Opinions in Social Networks of Smart City.
Complex., 2020

2019
A Resource-Efficient and Side-Channel Secure Hardware Implementation of Ring-LWE Cryptographic Processor.
IEEE Trans. Circuits Syst. I Regul. Pap., 2019

A 0.03- to 3.6-GHz Frequency Synthesizer With Self-Biased VCO and Quadrature-Input Quadrature-Output Frequency Divider.
IEEE Trans. Circuits Syst. II Express Briefs, 2019

Analysis and optimization of seamless switching golden states of multi-modulus divider in software defined ∑-Δ Frequency synthesizer.
Microelectron. J., 2019

Research on Intelligence Computing Models of Fine-Grained Opinion Mining in Online Reviews.
IEEE Access, 2019

A Fully Integrated HF RFID Tag Chip With LFSR-based Light-weight Tripling Mutual Authentication Protocol.
IEEE Access, 2019

Novel smart card SoC memory architecture based on embedded STT-MRAM.
Proceedings of the 13th IEEE International Conference on ASIC, 2019

A Configurable Architecture of ANN in Hardware with Resource-Efficient Reusable Neuron.
Proceedings of the 13th IEEE International Conference on ASIC, 2019

A Low-power High-reliability STT-MRAM Write Scheme with Real-time Voltage Sensing Module.
Proceedings of the 13th IEEE International Conference on ASIC, 2019

A 35µW Receiver Front-End with 35% wireless energy harvesting efficiency for Wearable Medical Applications.
Proceedings of the 13th IEEE International Conference on ASIC, 2019

RF Transceiver System Design: From Protocols to Specifications.
Proceedings of the 13th IEEE International Conference on ASIC, 2019

Image-Based Clone Code Detection and Visualization.
Proceedings of the International Conference on Artificial Intelligence and Advanced Manufacturing, 2019

2018
Cutting Forces Measurement for Milling Process by Using Working Tables with Integrated PVDF Thin-Film Sensors.
Sensors, 2018

A Quadrature Single Side-Band Mixer with Passive Negative Resistance in Software-Defined Frequency Synthesizer.
Sensors, 2018

Design and Implementation of a Lattice-Based Public-Key Encryption Scheme.
J. Circuits Syst. Comput., 2018

Examining situational continuous mobile game play behavior from the perspectives of diversion and flow experience.
Inf. Technol. People, 2018

Load balancing algorithm based on multiple linear regression analysis in multi-agent systems.
Int. J. Comput. Sci. Eng., 2018

An empirical study on optimization of training dataset in harmfulness prediction of code clone using ensemble feature selection model.
Proceedings of the 5th International Conference on Information and Communication Technologies for Disaster Management, 2018

Design and Hardware Implementation of a STT-MRAM Based SoC Architecture for Smart Card Chip.
Proceedings of the 2018 IEEE Asia Pacific Conference on Circuits and Systems, 2018

2017
An Efficient and Flexible Hardware Implementation of the Dual-Field Elliptic Curve Cryptographic Processor.
IEEE Trans. Ind. Electron., 2017

A Low Power Low Phase Noise Oscillator for MICS Transceivers.
Sensors, 2017

基于贝叶斯网络预测克隆代码质量 (Prediction of Code Clone Quality Based on Bayesian Network).
计算机科学, 2017

A Low-Cost RFID Regulator Insensitive to Temperature and Supply Voltage Variations.
J. Circuits Syst. Comput., 2017

An ultra-low power low cost LDO for UHF RFID tag.
IEICE Electron. Express, 2017

Area-optimized Lattice-based cryptographic processor for constrained devices.
Proceedings of the IEEE 60th International Midwest Symposium on Circuits and Systems, 2017

A novel positive-feedback read scheme with tail current source of STT-MRAM.
Proceedings of the 12th IEEE International Conference on ASIC, 2017

A low input power charge pump for passive UHF RFID applications.
Proceedings of the 12th IEEE International Conference on ASIC, 2017

Implementation of an energy-efficient digital baseband controller compatible with EPC Class-1 Gen-2 standard.
Proceedings of the 12th IEEE International Conference on ASIC, 2017

2016
A Low-Cost Low-Power Ring Oscillator-Based Truly Random Number Generator for Encryption on Smart Cards.
IEEE Trans. Circuits Syst. II Express Briefs, 2016

Real-Time Deflection Monitoring for Milling of a Thin-Walled Workpiece by Using PVDF Thin-Film Sensors with a Cantilevered Beam as a Case Study.
Sensors, 2016

The reliability analysis of wireless sensor networks based on the energy restrictions.
Int. J. Wirel. Mob. Comput., 2016

A research on the improved slope one algorithm for collaborative filtering.
Int. J. Comput. Sci. Math., 2016

SDN Control Model for Intelligent Task Execution in Wireless Sensor and Actor Networks.
Proceedings of the IEEE 83rd Vehicular Technology Conference, 2016

Implementation of a resource-constrained ECC processor with power analysis countermeasure.
Proceedings of the 2016 IEEE Asia Pacific Conference on Circuits and Systems, 2016

Research on Efficient Fibre-Channel-based Token-Routing Switch-Network Communication Protocol.
Proceedings of the Advances on P2P, 2016

2015
Design and Implementation of An ECC-Based Digital Baseband Controller for RFID Tag Chip.
IEEE Trans. Ind. Electron., 2015

A High Sensitivity Analog Front-end Circuit for Semi-Passive HF RFID Tag Applied to Implantable Devices.
IEEE Trans. Circuits Syst. I Regul. Pap., 2015

A 2.45-GHz W-level output power CMOS power amplifier with adaptive bias and integrated diode linearizer.
Microelectron. J., 2015

Energy-efficient and area-efficient switching scheme for SAR ADCs.
Proceedings of the 2015 IEEE 11th International Conference on ASIC, 2015

2014
Design and Implementation of a RF Powering Circuit for RFID Tags or Other Batteryless Embedded Devices.
Sensors, 2014

Design of an Elliptic Curve Cryptography Processor for RFID Tag Chips.
Sensors, 2014

The Performance Evaluation of University Scientific Research Project Management Based on the FAHP.
J. Digit. Inf. Manag., 2014

A +33dBm 1.9 GHz linear CMOS power amplifier with MOS-level linearizers.
Proceedings of the 2014 International Symposium on Integrated Circuits (ISIC), 2014

A low power injection-locked divider for body sensor network.
Proceedings of the 2014 International Symposium on Integrated Circuits (ISIC), 2014

2013
Applying the fuzzy lattice neurocomputing (FLN) classifier model to gear fault diagnosis.
Neural Comput. Appl., 2013

Dynamic equations of thermoelastic Cosserat rods.
Commun. Nonlinear Sci. Numer. Simul., 2013

2012
A Context Information Ontology Hierarchy Model for Tourism-oriented Mobile E-commerce.
J. Softw., 2012

University Teachers' Performance Appraisals Model Based on DEA and AHP.
J. Digit. Inf. Manag., 2012

2011
New Analysis and Design of a RF Rectifier for RFID and Implantable Devices.
Sensors, 2011

Recursive Design of High Girth (2, k) LDPC Codes from (k, k) LDPC Codes.
IEEE Commun. Lett., 2011

A new feature extraction and selection scheme for hybrid fault diagnosis of gearbox.
Expert Syst. Appl., 2011

Classification of time-frequency representations based on two-direction 2DLDA for gear fault diagnosis.
Appl. Soft Comput., 2011

2010
New design of RF rectifier for passive UHF RFID transponders.
Microelectron. J., 2010

Improved Genetic Algorithm Based on Simulated Annealing and Quantum Computing Strategy for Mining Association Rules.
J. Softw., 2010

A New Anonymous Authentication Method Based on One-way Accumulator.
J. Convergence Inf. Technol., 2010

A Methodology for Design of Unbuffered Router Microarchitecture for S-Mesh NoC.
Proceedings of the Network and Parallel Computing, IFIP International Conference, 2010

An AST Plagiarism Detection Model for Procedural Programming Languages.
Proceedings of the 2010 International Conference on Foundations of Computer Science, 2010

2009
An Empirical Research on the Determinants of User M-Commerce Acceptance.
Proceedings of the Software Engineering, 2009

Gradual Tax Policies for China's E-commerce Based on Mode Classification.
Proceedings of the First IITA International Joint Conference on Artificial Intelligence, 2009

A Web Page De-duplication Algorithm Based on Data Clearing.
Proceedings of the First IITA International Joint Conference on Artificial Intelligence, 2009

The Research of Web Page De-duplication Based on Web Pages Reshipment Statement.
Proceedings of the First International Workshop on Database Technology and Applications, 2009

Dynamic Algorithms for Self-Deployment and Self-Configuration of Pervasive Service Components.
Proceedings of the 2009 International Conference on Complex, 2009

Object Detection and Tracking Using Spatiotemporal Wavelet Domain Markov Random Field in Video.
Proceedings of the 2009 International Conference on Computational Intelligence and Security, 2009

2008
Distributed Customer Tagmeme Evaluation Model Based on the Multi-Attributes Tree Bayesian Network.
Proceedings of the International Conference on Cyberworlds 2008, 2008

Research on Customer Value Classification Based on Improved Support Vector Machine.
Proceedings of the International Conference on Cyberworlds 2008, 2008

Clock control strategy of four-phase Dickson charge pump for power efficiency improvement.
Proceedings of the IEEE Asia Pacific Conference on Circuits and Systems, 2008

2007
Algorithms for the m-Coverage Problem and k-Connected m-Coverage Problem in Wireless Sensor Networks.
Proceedings of the Network and Parallel Computing, IFIP International Conference, 2007

2005
An analysis of the relationship between spatial patterns of water quality and urban development in Shanghai, China.
Comput. Environ. Urban Syst., 2005

2002
Modeling workflow processes with colored Petri nets.
Comput. Ind., 2002


  Loading...