Heming Sun

Orcid: 0000-0001-5583-4895

According to our database1, Heming Sun authored at least 105 papers between 2012 and 2024.

Collaborative distances:
  • Dijkstra number2 of four.
  • Erdős number3 of four.

Timeline

Legend:

Book 
In proceedings 
Article 
PhD thesis 
Dataset
Other 

Links

On csauthors.net:

Bibliography

2024
An Ultra-High Linear Digitization Temperature Sensor Based on SAR ADC With Common-Mode Temperature Drift Suppression.
IEEE Trans. Circuits Syst. II Express Briefs, March, 2024

SCP: Spherical-Coordinate-Based Learned Point Cloud Compression.
Proceedings of the Thirty-Eighth AAAI Conference on Artificial Intelligence, 2024

2023
Area-Efficient Processing Elements-Based Adaptive Loop Filter Architecture With Optimized Memory for VVC.
IEEE Trans. Circuits Syst. II Express Briefs, November, 2023

A novel fast intra algorithm for VVC based on histogram of oriented gradient.
J. Vis. Commun. Image Represent., September, 2023

A Reconfigurable Multiple Transform Selection Architecture for VVC.
IEEE Trans. Very Large Scale Integr. Syst., May, 2023

A Highly Pipelined and Highly Parallel VLSI Architecture of CABAC Encoder for UHDTV Applications.
Sensors, 2023

Accelerating Learnt Video Codecs with Gradient Decay and Layer-wise Distillation.
CoRR, 2023

Prompt-ICM: A Unified Framework towards Image Coding for Machines with Task-driven Prompts.
CoRR, 2023

Learned Lossless Image Compression With Combined Channel-Conditioning Models and Autoregressive Modules.
IEEE Access, 2023

PTS-LIC: Pruning Threshold Searching for Lightweight Learned Image Compression.
Proceedings of the IEEE International Conference on Visual Communications and Image Processing, 2023

Fast VVC Intra Encoding for Video Coding for Machines.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2023

Recoil: Parallel rANS Decoding with Decoder-Adaptive Scalability.
Proceedings of the 52nd International Conference on Parallel Processing, 2023

iPhone 240fps Video Dataset for Various Model Training Tasks.
Proceedings of the IEEE International Conference on Consumer Electronics, 2023

ABCAS: Adaptive Bound Control of spectral norm as Automatic Stabilizer.
Proceedings of the IEEE International Conference on Consumer Electronics, 2023

Multistage Spatial Context Models for Learned Image Compression.
Proceedings of the IEEE International Conference on Acoustics, 2023

Learned Image Compression with Mixed Transformer-CNN Architectures.
Proceedings of the IEEE/CVF Conference on Computer Vision and Pattern Recognition, 2023

2022
QA-Filter: A QP-Adaptive Convolutional Neural Network Filter for Video Coding.
IEEE Trans. Image Process., 2022

Deep image compression based on multi-scale deformable convolution.
J. Vis. Commun. Image Represent., 2022

A method of underwater bridge structure damage detection method based on a lightweight deep convolutional network.
IET Image Process., 2022

An Efficient Low-Complexity Convolutional Neural Network Filter.
IEEE Multim., 2022

Learned Lossless Image Compression With Combined Autoregressive Models And Attention Modules.
CoRR, 2022

Real-time Learned Image Codec on FPGA.
Proceedings of the IEEE International Conference on Visual Communications and Image Processing, 2022

Improving Latent Quantization of Learned Image Compression with Gradient Scaling.
Proceedings of the IEEE International Conference on Visual Communications and Image Processing, 2022

On Pre-chewing Compression Degradation for Learned Video Compression.
Proceedings of the IEEE International Conference on Visual Communications and Image Processing, 2022

Learning from the NN-based Compressed Domain with Deep Feature Reconstruction Loss.
Proceedings of the IEEE International Conference on Visual Communications and Image Processing, 2022

Semantic Segmentation In Learned Compressed Domain.
Proceedings of the Picture Coding Symposium, 2022

Optimizing CABAC architecture with prediction based context model prefetching.
Proceedings of the 24th IEEE International Workshop on Multimedia Signal Processing, 2022

Project-Based Learning: Bridging the Gap Between Algorithm and Architecture in Neural Network Course.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2022

A QP-adaptive Mechanism for CNN-based Filter in Video Coding.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2022

An Area-efficient Unified Transform Architecture for VVC.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2022

Fast Intra Mode Decision for VVC Based on Histogram of Oriented Gradient.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2022

Improving Multiple Machine Vision Tasks in the Compressed Domain.
Proceedings of the 26th International Conference on Pattern Recognition, 2022

Memory-Efficient Learned Image Compression with Pruned Hyperprior Module.
Proceedings of the 2022 IEEE International Conference on Image Processing, 2022

Streaming-Capable High-Performance Architecture of Learned Image Compression Codecs.
Proceedings of the 2022 IEEE International Conference on Image Processing, 2022

Learned Video Compression With Residual Prediction And Feature-Aided Loop Filter.
Proceedings of the 2022 IEEE International Conference on Image Processing, 2022

Forward and Backward Warping for Optical Flow-Based Frame Interpolation.
Proceedings of the 2022 International Conference on Artificial Intelligence in Information and Communication, 2022

Research and examination on implementation of super-resolution models using deep learning with INT8 precision.
Proceedings of the 2022 International Conference on Artificial Intelligence in Information and Communication, 2022

2021
Learned Image Compression With Separate Hyperprior Decoders.
IEEE Open J. Circuits Syst., 2021

FPGA Based Accelerator for Neural Networks Computation with Flexible Pipelining.
CoRR, 2021

Learned Video Compression with Residual Prediction and Loop Filter.
CoRR, 2021

Learning in Compressed Domain for Faster Machine Vision Tasks.
Proceedings of the International Conference on Visual Communications and Image Processing, 2021

Learned Image Compression with Fixed-point Arithmetic.
Proceedings of the Picture Coding Symposium, 2021

Accelerating Convolutional Neural Network Inference Based on a Reconfigurable Sliced Systolic Array.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2021

Approximated Reconfigurable Transform Architecture for VVC.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2021

Deep Pedestrian Density Estimation For Smart City Monitoring.
Proceedings of the 2021 IEEE International Conference on Image Processing, 2021

Fast Object Detection in HEVC Intra Compressed Domain.
Proceedings of the 29th European Signal Processing Conference, 2021

COUGH: A Challenge Dataset and Models for COVID-19 FAQ Retrieval.
Proceedings of the 2021 Conference on Empirical Methods in Natural Language Processing, 2021

A-A KD: Attention and Activation Knowledge Distillation.
Proceedings of the Seventh IEEE International Conference on Multimedia Big Data, 2021

A Hardware Architecture for Adaptive Loop Filter in VVC Decoder.
Proceedings of the 14th IEEE International Conference on ASIC, 2021

A high precision positive temperature circuit using DEM technique.
Proceedings of the 14th IEEE International Conference on ASIC, 2021

Energy-Efficient Approximate Floating-Point Multiplier Based on Radix-8 Booth Encoding.
Proceedings of the 14th IEEE International Conference on ASIC, 2021

2020
Enhanced Intra Prediction for Video Coding by Using Multiple Neural Networks.
IEEE Trans. Multim., 2020

Energy Compaction-Based Image Compression Using Convolutional AutoEncoder.
IEEE Trans. Multim., 2020

A Pipelined 2D Transform Architecture Supporting Mixed Block Sizes for the VVC Standard.
IEEE Trans. Circuits Syst. Video Technol., 2020

Approximate FPGA-Based Multipliers Using Carry-Inexact Elementary Modules.
IEICE Trans. Fundam. Electron. Commun. Comput. Sci., 2020

COUGH: A Challenge Dataset and Models for COVID-19 FAQ Retrieval.
CoRR, 2020

A Convolutional Neural Network-Based Low Complexity Filter.
CoRR, 2020

A Fast QTMT Partition Decision Strategy for VVC Intra Prediction.
IEEE Access, 2020

Fully Neural Network Mode Based Intra Prediction of Variable Block Size.
Proceedings of the 2020 IEEE International Conference on Visual Communications and Image Processing, 2020

A Learning-Based Low Complexity in-Loop Filter for Video Coding.
Proceedings of the 2020 IEEE International Conference on Multimedia & Expo Workshops, 2020

End-To-End Learned Image Compression With Fixed Point Weight Quantization.
Proceedings of the IEEE International Conference on Image Processing, 2020

Scalable Learned Image Compression With A Recurrent Neural Networks-Based Hyperprior.
Proceedings of the IEEE International Conference on Image Processing, 2020

CNN Based Optimal Intra Prediction Mode Estimation in Video Coding.
Proceedings of the 2020 IEEE International Conference on Consumer Electronics (ICCE), 2020

Learned Lossless Image Compression with A Hyperprior and Discretized Gaussian Mixture Likelihoods.
Proceedings of the 2020 IEEE International Conference on Acoustics, 2020

HEVC Video Coding with Deep Learning Based Frame Interpolation.
Proceedings of the 9th IEEE Global Conference on Consumer Electronics, 2020

An Image Compression Framework with Learning-based Filter.
Proceedings of the 2020 IEEE/CVF Conference on Computer Vision and Pattern Recognition, 2020

Learned Image Compression With Discretized Gaussian Mixture Likelihoods and Attention Modules.
Proceedings of the 2020 IEEE/CVF Conference on Computer Vision and Pattern Recognition, 2020

Low Bitrate Image Compression with Discretized Gaussian Mixture Likelihoods.
Proceedings of the 2020 IEEE/CVF Conference on Computer Vision and Pattern Recognition, 2020

Small-Area and Low-Power FPGA-Based Multipliers using Approximate Elementary Modules.
Proceedings of the 25th Asia and South Pacific Design Automation Conference, 2020

2019
Approximate DCT Design for Video Encoding Based on Novel Truncation Scheme.
IEEE Trans. Circuits Syst. I Regul. Pap., 2019

Design of Low-Cost Approximate Multipliers Based on Probability-Driven Inexact Compressors.
IEICE Trans. Fundam. Electron. Commun. Comput. Sci., 2019

Gamut-Extension Methods Considering Color Information Restoration.
IEEE Access, 2019

Fast QTMT Partition Decision Algorithm in VVC Intra Coding based on Variance and Gradient.
Proceedings of the 2019 IEEE Visual Communications and Image Processing, 2019

Energy-Efficient and High-Speed Approximate Signed Multipliers with Sign-Focused Compressors.
Proceedings of the 32nd IEEE International System-on-Chip Conference, 2019

A Minimal Adder-oriented 1D DST-VII/DCT-VIII Hardware Implementation for VVC Standard.
Proceedings of the 32nd IEEE International System-on-Chip Conference, 2019

Dual Learning-based Video Coding with Inception Dense Blocks.
Proceedings of the Picture Coding Symposium, 2019

Road Infrastructure Monitoring System using E-Bikes and Its Extensions for Smart Community.
Proceedings of the 1st ACM Workshop on Emerging Smart Technologies and Infrastructures for Smart Mobility and Sustainability, 2019

A Gamut-Extension Method Considering Color Information Restoration using Convolutional Neural Networks.
Proceedings of the 2019 IEEE International Conference on Image Processing, 2019

Perceptual Quality Study on Deep Learning Based Image Compression.
Proceedings of the 2019 IEEE International Conference on Image Processing, 2019

Deep Residual Learning for Image Compression.
Proceedings of the IEEE Conference on Computer Vision and Pattern Recognition Workshops, 2019

Learning Image and Video Compression Through Spatial-Temporal Energy Compaction.
Proceedings of the IEEE Conference on Computer Vision and Pattern Recognition, 2019

2018
Design of Power and Area Efficient Lower-Part-OR Approximate Multiplier.
Proceedings of the TENCON 2018, 2018

Deep Convolutional AutoEncoder-based Lossy Image Compression.
Proceedings of the 2018 Picture Coding Symposium, 2018

Performance Comparison of Convolutional AutoEncoders, Generative Adversarial Networks and Super-Resolution for Image Compression.
Proceedings of the 2018 IEEE Conference on Computer Vision and Pattern Recognition Workshops, 2018

Sparse ternary connect: Convolutional neural networks using ternarized weights with enhanced sparsity.
Proceedings of the 23rd Asia and South Pacific Design Automation Conference, 2018

Low-Cost Approximate Multiplier Design using Probability-Driven Inexact Compressors.
Proceedings of the 2018 IEEE Asia Pacific Conference on Circuits and Systems, 2018

2017
Fast Algorithm and VLSI Architecture of Rate Distortion Optimization in H.265/HEVC.
IEEE Trans. Multim., 2017

An 8K H.265/HEVC Video Decoder Chip With a New System Pipeline Design.
IEEE J. Solid State Circuits, 2017

Accelerating HEVC Inter Prediction with Improved Merge Mode Handling.
IEICE Trans. Fundam. Electron. Commun. Comput. Sci., 2017

Time-efficient and TSV-aware 3D gated clock tree synthesis based on self-tuning spectral clustering.
Proceedings of the IEEE 60th International Midwest Symposium on Circuits and Systems, 2017

A low-cost approximate 32-point transform architecture.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2017

2016
A Low-Power VLSI Architecture for HEVC De-Quantization and Inverse Transform.
IEICE Trans. Fundam. Electron. Commun. Comput. Sci., 2016

Power-efficient and slew-aware three dimensional gated clock tree synthesis.
Proceedings of the 2016 IFIP/IEEE International Conference on Very Large Scale Integration, 2016

14.7 A 4Gpixel/s 8/10b H.265/HEVC video decoder chip for 8K Ultra HD applications.
Proceedings of the 2016 IEEE International Solid-State Circuits Conference, 2016

2015
Human Detection Method Based on Non-Redundant Gradient Semantic Local Binary Patterns.
IEICE Trans. Fundam. Electron. Commun. Comput. Sci., 2015

Merge mode based fast inter prediction for HEVC.
Proceedings of the 2015 Visual Communications and Image Processing, 2015

Hardware-oriented rate-distortion optimization algorithm for HEVC intra-frame encoder.
Proceedings of the 2015 IEEE International Conference on Multimedia & Expo Workshops, 2015

2014
A Low-Cost VLSI Architecture of Multiple-Size IDCT for H.265/HEVC.
IEICE Trans. Fundam. Electron. Commun. Comput. Sci., 2014

Fast Prediction Unit Selection and Mode Selection for HEVC Intra Prediction.
IEICE Trans. Fundam. Electron. Commun. Comput. Sci., 2014

An area-efficient 4/8/16/32-point inverse DCT architecture for UHDTV HEVC decoder.
Proceedings of the 2014 IEEE Visual Communications and Image Processing Conference, 2014

Low-Complexity Rate-Distortion Optimization Algorithms for HEVC Intra Prediction.
Proceedings of the MultiMedia Modeling - 20th Anniversary International Conference, 2014

VLSI architecture of HEVC intra prediction for 8K UHDTV applications.
Proceedings of the 2014 IEEE International Conference on Image Processing, 2014

2013
Multi-scale bidirectional local template patterns for real-time human detection.
Proceedings of the 15th IEEE International Workshop on Multimedia Signal Processing, 2013

2012
A Low-Complexity HEVC Intra Prediction Algorithm Based on Level and Mode Filtering.
Proceedings of the 2012 IEEE International Conference on Multimedia and Expo, 2012

The Reflexive Optimal Approximation Solution of Matrix Equation AXB + CYD = E.
Proceedings of the Fifth International Joint Conference on Computational Sciences and Optimization, 2012


  Loading...