Ji Li

Orcid: 0000-0003-4699-084X

Affiliations:
  • University of Southern California, Department of Electrical Engineering, Los Angeles, CA, USA (PhD 2018)


According to our database1, Ji Li authored at least 39 papers between 2014 and 2023.

Collaborative distances:

Timeline

Legend:

Book 
In proceedings 
Article 
PhD thesis 
Dataset
Other 

Links

Online presence:

On csauthors.net:

Bibliography

2023
Towards Lossless Head Pruning through Automatic Peer Distillation for Language Models.
Proceedings of the Thirty-Second International Joint Conference on Artificial Intelligence, 2023

ClipCrop: Conditioned Cropping Driven by Vision-Language Model.
Proceedings of the IEEE/CVF International Conference on Computer Vision, 2023

Generation-Based Data Augmentation Pipeline for Real-Time Automatic Gesture Recognition.
Proceedings of the 15th International Conference on Agents and Artificial Intelligence, 2023

2021
TAG: Transformer Attack from Gradient.
CoRR, 2021

A Secure and Efficient Federated Learning Framework for NLP.
Proceedings of the 2021 Conference on Empirical Methods in Natural Language Processing, 2021

TAG: Gradient Attack on Transformer-based Language Models.
Proceedings of the Findings of the Association for Computational Linguistics: EMNLP 2021, 2021

2020
H₂O-Cloud: A Resource and Quality of Service-Aware Task Scheduling Framework for Warehouse-Scale Data Centers.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2020

FTRANS: energy-efficient acceleration of transformers using FPGA.
Proceedings of the ISLPED '20: ACM/IEEE International Symposium on Low Power Electronics and Design, 2020

Efficient Transformer-based Large Scale Language Representations using Hardware-friendly Block Structured Pruning.
Proceedings of the Findings of the Association for Computational Linguistics: EMNLP 2020, 2020

2019
HEIF: Highly Efficient Stochastic Computing-Based Inference Framework for Deep Neural Networks.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2019

Normalization and dropout for stochastic computing-based deep convolutional neural networks.
Integr., 2019

Yield modelling and analysis of bundled data and ring-oscillator based designs.
IET Comput. Digit. Tech., 2019

H2O-Cloud: A Resource and Quality of Service-Aware Task Scheduling Framework for Warehouse-Scale Data Centers.
CoRR, 2019

Efficient Cloud Resource Management using Neuromorphic Modeling and Prediction for Virtual Machine Resource Utilization.
Proceedings of the 15th IEEE International Conference on Embedded Software and Systems, 2019

2018
An Exploration of Applying Gate-Length-Biasing Techniques to Deeply-Scaled FinFETs Operating in Multiple Voltage Regimes.
IEEE Trans. Emerg. Top. Comput., 2018

Towards Budget-Driven Hardware Optimization for Deep Convolutional Neural Networks Using Stochastic Computing.
Proceedings of the 2018 IEEE Computer Society Annual Symposium on VLSI, 2018

High performance training of deep neural networks using pipelined hardware acceleration and distributed memory.
Proceedings of the 19th International Symposium on Quality Electronic Design, 2018

Prediction-based fast thermoelectric generator reconfiguration for energy harvesting from vehicle radiators.
Proceedings of the 2018 Design, Automation & Test in Europe Conference & Exhibition, 2018

DRL-cloud: Deep reinforcement learning-based resource provisioning and task scheduling for cloud service providers.
Proceedings of the 23rd Asia and South Pacific Design Automation Conference, 2018

2017
Fundamental Challenges Toward Making the IoT a Reachable Reality: A Model-Centric Investigation.
ACM Trans. Design Autom. Electr. Syst., 2017

Accelerated Soft-Error-Rate (SER) Estimation for Combinational and Sequential Circuits.
ACM Trans. Design Autom. Electr. Syst., 2017

CTS2M: concurrent task scheduling and storage management for residential energy consumers under dynamic energy pricing.
IET Cyper-Phys. Syst.: Theory & Appl., 2017

Multisource Indoor Energy Harvesting for Nonvolatile Processors.
IEEE Des. Test, 2017

Fast and energy-aware resource provisioning and task scheduling for cloud systems.
Proceedings of the 18th International Symposium on Quality Electronic Design, 2017

Hardware-driven nonlinear activation for stochastic computing based deep convolutional neural networks.
Proceedings of the 2017 International Joint Conference on Neural Networks, 2017

Softmax Regression Design for Stochastic Computing Based Deep Convolutional Neural Networks.
Proceedings of the on Great Lakes Symposium on VLSI 2017, 2017

Deadline-Aware Joint Optimization of Sleep Transistor and Supply Voltage for FinFET Based Embedded Systems.
Proceedings of the on Great Lakes Symposium on VLSI 2017, 2017

Structural design optimization for deep convolutional neural networks using stochastic computing.
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2017

SC-DCNN: Highly-Scalable Deep Convolutional Neural Network using Stochastic Computing.
Proceedings of the Twenty-Second International Conference on Architectural Support for Programming Languages and Operating Systems, 2017

Towards acceleration of deep convolutional neural networks using stochastic computing.
Proceedings of the 22nd Asia and South Pacific Design Automation Conference, 2017

Algorithm accelerations for luminescent solar concentrator-enhanced reconfigurable onboard photovoltaic system.
Proceedings of the 22nd Asia and South Pacific Design Automation Conference, 2017

2016
Joint Soft-Error-Rate (SER) Estimation for Combinational Logic and Sequential Elements.
Proceedings of the IEEE Computer Society Annual Symposium on VLSI, 2016

Negotiation-based resource provisioning and task scheduling algorithm for cloud systems.
Proceedings of the 17th International Symposium on Quality Electronic Design, 2016

An efficient timing analysis model for 6T FinFET SRAM using current-based method.
Proceedings of the 17th International Symposium on Quality Electronic Design, 2016

DSCNN: Hardware-oriented optimization for Stochastic Computing based Deep Convolutional Neural Networks.
Proceedings of the 34th IEEE International Conference on Computer Design, 2016

Accelerating soft-error-rate (SER) estimation in the presence of single event transients.
Proceedings of the 53rd Annual Design Automation Conference, 2016

2015
Leakage power reduction for deeply-scaled FinFET circuits operating in multiple voltage regimes using fine-grained gate-length biasing technique.
Proceedings of the 2015 Design, Automation & Test in Europe Conference & Exhibition, 2015

Negotiation-based task scheduling and storage control algorithm to minimize user's electric bills under dynamic prices.
Proceedings of the 20th Asia and South Pacific Design Automation Conference, 2015

2014
Negotiation-based task scheduling to minimize user's electricity bills under dynamic energy prices.
Proceedings of the IEEE Online Conference on Green Communications, 2014


  Loading...