Caiwen Ding

Orcid: 0000-0003-0891-1231

According to our database1, Caiwen Ding authored at least 141 papers between 2015 and 2024.

Collaborative distances:

Timeline

Legend:

Book 
In proceedings 
Article 
PhD thesis 
Dataset
Other 

Links

Online presence:

On csauthors.net:

Bibliography

2024
Collaborative Multi-Object Tracking With Conformal Uncertainty Propagation.
IEEE Robotics Autom. Lett., 2024

Weakly Supervised Change Detection via Knowledge Distillation and Multiscale Sigmoid Inference.
CoRR, 2024

Key Information Retrieval to Classify the Unstructured Data Content of Preferential Trade Agreements.
CoRR, 2024

Zero-Space Cost Fault Tolerance for Transformer-based Language Models on ReRAM.
CoRR, 2024

FlashVideo: A Framework for Swift Inference in Text-to-Video Generation.
CoRR, 2024

2023
Surrogate Lagrangian Relaxation: A Path to Retrain-Free Deep Neural Network Pruning.
ACM Trans. Design Autom. Electr. Syst., November, 2023

Memristor-Based Spectral Decomposition of Matrices and Its Applications.
IEEE Trans. Computers, May, 2023

MaxK-GNN: Towards Theoretical Speed Limits for Accelerating Graph Neural Networks Training.
CoRR, 2023

Advanced Large Language Model (LLM)-Driven Verilog Development: Enhancing Power, Performance, and Area Optimization in Code Synthesis.
CoRR, 2023

Evaluating Emerging AI/ML Accelerators: IPU, RDU, and NVIDIA/AMD GPUs.
CoRR, 2023

DeeDiff: Dynamic Uncertainty-Aware Early Exiting for Accelerating Diffusion Model Generation.
CoRR, 2023

Towards Zero Memory Footprint Spiking Neural Network Training.
CoRR, 2023

Boosting Logical Reasoning in Large Language Models through a New Framework: The Graph of Thought.
CoRR, 2023

Tango: rethinking quantization for graph neural network training on GPUs.
CoRR, 2023

Creating a Dataset for High-Performance Computing Code Translation: A Bridge Between HPC Fortran and C++.
CoRR, 2023

Dynamic Gradient Balancing for Enhanced Adversarial Attacks on Multi-Task Models.
CoRR, 2023

Shared Information-Based Safe And Efficient Behavior Planning For Connected Autonomous Vehicles.
CoRR, 2023

RRNet: Towards ReLU-Reduced Neural Network for Two-party Computation Based Private Inference.
CoRR, 2023

Spectral-DP: Differentially Private Deep Learning through Spectral Perturbation and Filtering.
Proceedings of the 44th IEEE Symposium on Security and Privacy, 2023

TANGO: re-thinking quantization for graph neural network training on GPUs.
Proceedings of the International Conference for High Performance Computing, 2023

LinGCN: Structural Linearized Graph Convolutional Network for Homomorphically Encrypted Inference.
Proceedings of the Advances in Neural Information Processing Systems 36: Annual Conference on Neural Information Processing Systems 2023, 2023

AQ2PNN: Enabling Two-party Privacy-Preserving Deep Neural Network Inference with Adaptive Quantization.
Proceedings of the 56th Annual IEEE/ACM International Symposium on Microarchitecture, 2023

Automatic Subnetwork Search Through Dynamic Differentiable Neuron Pruning.
Proceedings of the 24th International Symposium on Quality Electronic Design, 2023

A Deep Learning Approach for Ventricular Arrhythmias Classification using Microcontroller.
Proceedings of the 24th International Symposium on Quality Electronic Design, 2023

MergePath-SpMM: Parallel Sparse Matrix-Matrix Algorithm for Graph Neural Network Acceleration.
Proceedings of the IEEE International Symposium on Performance Analysis of Systems and Software, 2023

Towards Lossless Head Pruning through Automatic Peer Distillation for Language Models.
Proceedings of the Thirty-Second International Joint Conference on Artificial Intelligence, 2023

Uncertainty Quantification of Collaborative Detection for Self-Driving.
Proceedings of the IEEE International Conference on Robotics and Automation, 2023

SpENCNN: Orchestrating Encoding and Sparsity for Fast Homomorphically Encrypted Neural Network Inference.
Proceedings of the International Conference on Machine Learning, 2023

AutoReP: Automatic ReLU Replacement for Fast Private Network Inference.
Proceedings of the IEEE/CVF International Conference on Computer Vision, 2023

Accel-GCN: High-Performance GPU Accelerator Design for Graph Convolution Networks.
Proceedings of the IEEE/ACM International Conference on Computer Aided Design, 2023

Creating a Dataset for High-Performance Computing Code Translation using LLMs: A Bridge Between OpenMP Fortran and C++.
Proceedings of the IEEE High Performance Extreme Computing Conference, 2023

Understanding Node Allocation on Leadership-Class Supercomputers with Graph Analytics.
Proceedings of the IEEE International Conference on High Performance Computing & Communications, 2023

Physics-aware Roughness Optimization for Diffractive Optical Neural Networks.
Proceedings of the 60th ACM/IEEE Design Automation Conference, 2023

PASNet: Polynomial Architecture Search Framework for Two-party Computation-based Secure Neural Network Deployment.
Proceedings of the 60th ACM/IEEE Design Automation Conference, 2023

Ising-CF: A Pathbreaking Collaborative Filtering Method Through Efficient Ising Machine Learning.
Proceedings of the 60th ACM/IEEE Design Automation Conference, 2023

Dynamic Sparse Training via Balancing the Exploration-Exploitation Trade-off.
Proceedings of the 60th ACM/IEEE Design Automation Conference, 2023

Neurogenesis Dynamics-inspired Spiking Neural Network Training Acceleration.
Proceedings of the 60th ACM/IEEE Design Automation Conference, 2023

Condense: A Framework for Device and Frequency Adaptive Neural Network Models on the Edge.
Proceedings of the 60th ACM/IEEE Design Automation Conference, 2023

Accelerating Dataset Distillation via Model Augmentation.
Proceedings of the IEEE/CVF Conference on Computer Vision and Pattern Recognition, 2023

You Need Multiple Exiting: Dynamic Early Exiting for Accelerating Unified Vision Language Model.
Proceedings of the IEEE/CVF Conference on Computer Vision and Pattern Recognition, 2023

2022
Game Theoretic Mixed Experts for Combinational Adversarial Machine Learning.
CoRR, 2022

Efficient Traffic State Forecasting using Spatio-Temporal Network Dependencies: A Sparse Graph Neural Network Approach.
CoRR, 2022

Aerial Manipulation Using a Novel Unmanned Aerial Vehicle Cyber-Physical System.
CoRR, 2022

Securing the Spike: On the Transferabilty and Security of Spiking Neural Networks to Adversarial Examples.
CoRR, 2022

Graph-Based Shape Analysis for Heterogeneous Geometric Datasets: Similarity, Retrieval and Substructure Matching.
Comput. Aided Des., 2022

QuClassi: A Hybrid Deep Neural Network Architecture based on Quantum State Fidelity.
Proceedings of Machine Learning and Systems 2022, 2022

An Intermittent OTA Approach to Update the DL Weights on Energy Harvesting Devices.
Proceedings of the 23rd International Symposium on Quality Electronic Design, 2022

An Automatic and Efficient BERT Pruning for Edge AI Systems.
Proceedings of the 23rd International Symposium on Quality Electronic Design, 2022

Reliability Improvement in RRAM-based DNN for Edge Computing.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2022

Variance of the Gradient Also Matters: Privacy Leakage from Gradients.
Proceedings of the International Joint Conference on Neural Networks, 2022

Towards Sparsification of Graph Neural Networks.
Proceedings of the IEEE 40th International Conference on Computer Design, 2022

CoDG-ReRAM: An Algorithm-Hardware Co-design to Accelerate Semi-Structured GNNs on ReRAM.
Proceedings of the IEEE 40th International Conference on Computer Design, 2022

On the Design of Quantum Graph Convolutional Neural Network in the NISQ-Era and Beyond.
Proceedings of the IEEE 40th International Conference on Computer Design, 2022

Towards Real-Time Temporal Graph Learning.
Proceedings of the IEEE 40th International Conference on Computer Design, 2022

EVE: Environmental Adaptive Neural Network Models for Low-Power Energy Harvesting System.
Proceedings of the 41st IEEE/ACM International Conference on Computer-Aided Design, 2022

All-in-One: A Highly Representative DNN Pruning Framework for Edge Devices with Dynamic Power Management.
Proceedings of the 41st IEEE/ACM International Conference on Computer-Aided Design, 2022

Enabling Fast Deep Learning on Tiny Energy-Harvesting IoT Devices.
Proceedings of the 2022 Design, Automation & Test in Europe Conference & Exhibition, 2022

A length adaptive algorithm-hardware co-design of transformer on FPGA through sparse attention and dynamic pipelining.
Proceedings of the DAC '22: 59th ACM/IEEE Design Automation Conference, San Francisco, California, USA, July 10, 2022

Poster: Cryptographic Inferences for Video Deep Neural Networks.
Proceedings of the 2022 ACM SIGSAC Conference on Computer and Communications Security, 2022

Analyzing and Defending against Membership Inference Attacks in Natural Language Processing Classification.
Proceedings of the IEEE International Conference on Big Data, 2022

Sparse Progressive Distillation: Resolving Overfitting under Pretrain-and-Finetune Paradigm.
Proceedings of the 60th Annual Meeting of the Association for Computational Linguistics (Volume 1: Long Papers), 2022

2021
Trust: Triangle Counting Reloaded on GPUs.
IEEE Trans. Parallel Distributed Syst., 2021

Design, Sensing, and Control of a Novel UAV Platform for Aerial Drilling and Screwing.
IEEE Robotics Autom. Lett., 2021

Enabling Super-Fast Deep Learning on Tiny Energy-Harvesting IoT Devices.
CoRR, 2021

Detecting Gender Bias in Transformer-based Models: A Case Study on BERT.
CoRR, 2021

Sparse Progressive Distillation: Resolving Overfitting under Pretrain-and-Finetune Paradigm.
CoRR, 2021

Optimizing FPGA-based Accelerator Design for Large-Scale Molecular Similarity Search.
CoRR, 2021

Exploration of Quantum Neural Architecture by Mixing Quantum Neuron Designs.
CoRR, 2021

Binary Complex Neural Network Acceleration on FPGA.
CoRR, 2021

TAG: Transformer Attack from Gradient.
CoRR, 2021

Dr. Top-k: delegate-centric Top-k on GPUs.
Proceedings of the International Conference for High Performance Computing, 2021

E.T.: re-thinking self-attention for transformer models on GPUs.
Proceedings of the International Conference for High Performance Computing, 2021

An End-to-end Multi-task Object Detection using Embedded GPU in Autonomous Driving.
Proceedings of the 22nd International Symposium on Quality Electronic Design, 2021

Accelerating Transformer-based Deep Learning Models on FPGAs using Column Balanced Block Pruning.
Proceedings of the 22nd International Symposium on Quality Electronic Design, 2021

FORMS: Fine-grained Polarized ReRAM-based In-situ Computation for Mixed-signal DNN Accelerator.
Proceedings of the 48th ACM/IEEE Annual International Symposium on Computer Architecture, 2021

Against Membership Inference Attack: Pruning is All You Need.
Proceedings of the Thirtieth International Joint Conference on Artificial Intelligence, 2021

A Compression-Compilation Framework for On-mobile Real-time BERT Applications.
Proceedings of the Thirtieth International Joint Conference on Artificial Intelligence, 2021

Enabling Retrain-free Deep Neural Network Pruning Using Surrogate Lagrangian Relaxation.
Proceedings of the Thirtieth International Joint Conference on Artificial Intelligence, 2021

Exploration of Quantum Neural Architecture by Mixing Quantum Neuron Designs: (Invited Paper).
Proceedings of the IEEE/ACM International Conference On Computer Aided Design, 2021

Optimizing FPGA-based Accelerator Design for Large-Scale Molecular Similarity Search (Special Session Paper).
Proceedings of the IEEE/ACM International Conference On Computer Aided Design, 2021

FL-DISCO: Federated Generative Adversarial Network for Graph-based Molecule Drug Discovery: Special Session Paper.
Proceedings of the IEEE/ACM International Conference On Computer Aided Design, 2021

Co-Exploration of Graph Neural Network and Network-on-Chip Design Using AutoML.
Proceedings of the GLSVLSI '21: Great Lakes Symposium on VLSI 2021, 2021

HMC-TRAN: A Tensor-core Inspired Hierarchical Model Compression for Transformer-based DNNs on GPU.
Proceedings of the GLSVLSI '21: Great Lakes Symposium on VLSI 2021, 2021

A Secure and Efficient Federated Learning Framework for NLP.
Proceedings of the 2021 Conference on Empirical Methods in Natural Language Processing, 2021

TAG: Gradient Attack on Transformer-based Language Models.
Proceedings of the Findings of the Association for Computational Linguistics: EMNLP 2021, 2021

TinyADC: Peripheral Circuit-aware Weight Pruning Framework for Mixed-signal DNN Accelerators.
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2021

A Unified DNN Weight Pruning Framework Using Reweighted Optimization Methods.
Proceedings of the 58th ACM/IEEE Design Automation Conference, 2021

Dancing along Battery: Enabling Transformer with Run-time Reconfigurability on Mobile Devices.
Proceedings of the 58th ACM/IEEE Design Automation Conference, 2021

Binary Complex Neural Network Acceleration on FPGA : (Invited Paper).
Proceedings of the 32nd IEEE International Conference on Application-specific Systems, 2021

2020
A Surrogate Lagrangian Relaxation-based Model Compression for Deep Neural Networks.
CoRR, 2020

Achieving Real-Time Execution of Transformer-based Large-scale Models on Mobile with Compiler-aware Neural Architecture Optimization.
CoRR, 2020

SAPAG: A Self-Adaptive Privacy Attack From Gradients.
CoRR, 2020

ESMFL: Efficient and Secure Models for Federated Learning.
CoRR, 2020

MCMIA: Model Compression Against Membership Inference Attack in Deep Neural Networks.
CoRR, 2020

A Unified DNN Weight Compression Framework Using Reweighted Optimization Methods.
CoRR, 2020

A DNN Compression Framework for SOT-MRAM-based Processing-In-Memory Engine.
Proceedings of the 33rd IEEE International System-on-Chip Conference, 2020

An Efficient Deep Reinforcement Learning Framework for UAVs.
Proceedings of the 21st International Symposium on Quality Electronic Design, 2020

FTRANS: energy-efficient acceleration of transformers using FPGA.
Proceedings of the ISLPED '20: ACM/IEEE International Symposium on Low Power Electronics and Design, 2020

Towards an Efficient and General Framework of Robust Training for Graph Neural Networks.
Proceedings of the 2020 IEEE International Conference on Acoustics, 2020

A Privacy-Preserving-Oriented DNN Pruning and Mobile Acceleration Framework.
Proceedings of the GLSVLSI '20: Great Lakes Symposium on VLSI 2020, 2020

FTDL: An FPGA-tailored Architecture for Deep Learning Systems.
Proceedings of the FPGA '20: The 2020 ACM/SIGDA International Symposium on Field-Programmable Gate Arrays, 2020

Efficient Transformer-based Large Scale Language Representations using Hardware-friendly Block Structured Pruning.
Proceedings of the Findings of the Association for Computational Linguistics: EMNLP 2020, 2020

FTDL: A Tailored FPGA-Overlay for Deep Learning with High Scalability.
Proceedings of the 57th ACM/IEEE Design Automation Conference, 2020

Tiny but Accurate: A Pruned, Quantized and Optimized Memristor Crossbar Framework for Ultra Efficient DNN Implementation.
Proceedings of the 25th Asia and South Pacific Design Automation Conference, 2020

2019
HEIF: Highly Efficient Stochastic Computing-Based Inference Framework for Deep Neural Networks.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2019

Normalization and dropout for stochastic computing-based deep convolutional neural networks.
Integr., 2019

A SOT-MRAM-based Processing-In-Memory Engine for Highly Compressed DNN Implementation.
CoRR, 2019

A Stochastic-Computing based Deep Learning Framework using Adiabatic Quantum-Flux-Parametron SuperconductingTechnology.
CoRR, 2019

Deep Compressed Pneumonia Detection for Low-Power Embedded Devices.
Proceedings of the Large-Scale Annotation of Biomedical Data and Expert Label Synthesis and Hardware Aware Learning for Medical Imaging and Computer Assisted Intervention, 2019

An Ultra-Efficient Memristor-Based DNN Framework with Structured Weight Pruning and Quantization Using ADMM.
Proceedings of the 2019 IEEE/ACM International Symposium on Low Power Electronics and Design, 2019

A stochastic-computing based deep learning framework using adiabatic quantum-flux-parametron superconducting technology.
Proceedings of the 46th International Symposium on Computer Architecture, 2019

E-RNN: Design Optimization for Efficient Recurrent Neural Networks in FPGAs.
Proceedings of the 25th IEEE International Symposium on High Performance Computer Architecture, 2019

A Majority Logic Synthesis Framework for Adiabatic Quantum-Flux-Parametron Superconducting Circuits.
Proceedings of the 2019 on Great Lakes Symposium on VLSI, 2019

REQ-YOLO: A Resource-Aware, Efficient Quantization Framework for Object Detection on FPGAs.
Proceedings of the 2019 ACM/SIGDA International Symposium on Field-Programmable Gate Arrays, 2019

2018
Dynamic Reconfiguration of Thermoelectric Generators for Vehicle Radiators Energy Harvesting Under Location-Dependent Temperature Variations.
IEEE Trans. Very Large Scale Integr. Syst., 2018

Reconfigurable Photovoltaic Systems for Electric Vehicles.
IEEE Des. Test, 2018

A Fast and Effective Memristor-Based Method for Finding Approximate Eigenvalues and Eigenvectors of Non-negative Matrices.
Proceedings of the 2018 IEEE Computer Society Annual Symposium on VLSI, 2018

Towards Budget-Driven Hardware Optimization for Deep Convolutional Neural Networks Using Stochastic Computing.
Proceedings of the 2018 IEEE Computer Society Annual Symposium on VLSI, 2018

Learning Topics Using Semantic Locality.
Proceedings of the 24th International Conference on Pattern Recognition, 2018

Efficient Recurrent Neural Networks using Structured Matrices in FPGAs.
Proceedings of the 6th International Conference on Learning Representations, 2018

Structured Weight Matrices-Based Hardware Accelerators in Deep Neural Networks: FPGAs and ASICs.
Proceedings of the 2018 on Great Lakes Symposium on VLSI, 2018

C-LSTM: Enabling Efficient LSTM using Structured Compression Techniques on FPGAs.
Proceedings of the 2018 ACM/SIGDA International Symposium on Field-Programmable Gate Arrays, 2018

Prediction-based fast thermoelectric generator reconfiguration for energy harvesting from vehicle radiators.
Proceedings of the 2018 Design, Automation & Test in Europe Conference & Exhibition, 2018

FFT-based deep learning deployment in embedded systems.
Proceedings of the 2018 Design, Automation & Test in Europe Conference & Exhibition, 2018

VIBNN: Hardware Acceleration of Bayesian Neural Networks.
Proceedings of the Twenty-Third International Conference on Architectural Support for Programming Languages and Operating Systems, 2018

Towards Ultra-High Performance and Energy Efficiency of Deep Learning Systems: An Algorithm-Hardware Co-Optimization Framework.
Proceedings of the Thirty-Second AAAI Conference on Artificial Intelligence, 2018

2017
Multisource Indoor Energy Harvesting for Nonvolatile Processors.
IEEE Des. Test, 2017

CirCNN: Accelerating and Compressing Deep Neural Networks Using Block-CirculantWeight Matrices.
CoRR, 2017

Memristor crossbar-based ultra-efficient next-generation baseband processors.
Proceedings of the IEEE 60th International Midwest Symposium on Circuits and Systems, 2017

CirCNN: accelerating and compressing deep neural networks using block-circulant weight matrices.
Proceedings of the 50th Annual IEEE/ACM International Symposium on Microarchitecture, 2017

Reconfigurable thermoelectric generators for vehicle radiators energy harvesting.
Proceedings of the 2017 IEEE/ACM International Symposium on Low Power Electronics and Design, 2017

Hardware-driven nonlinear activation for stochastic computing based deep convolutional neural networks.
Proceedings of the 2017 International Joint Conference on Neural Networks, 2017

Softmax Regression Design for Stochastic Computing Based Deep Convolutional Neural Networks.
Proceedings of the on Great Lakes Symposium on VLSI 2017, 2017

SC-DCNN: Highly-Scalable Deep Convolutional Neural Network using Stochastic Computing.
Proceedings of the Twenty-Second International Conference on Architectural Support for Programming Languages and Operating Systems, 2017

Towards acceleration of deep convolutional neural networks using stochastic computing.
Proceedings of the 22nd Asia and South Pacific Design Automation Conference, 2017

Algorithm accelerations for luminescent solar concentrator-enhanced reconfigurable onboard photovoltaic system.
Proceedings of the 22nd Asia and South Pacific Design Automation Conference, 2017

2016
Multi-source in-door energy harvesting for non-volatile processors.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2016

Luminescent solar concentrator-based photovoltaic reconfiguration for hybrid and plug-in electric vehicles.
Proceedings of the 34th IEEE International Conference on Computer Design, 2016

Dynamic converter reconfiguration for near-threshold non-volatile processors using in-door energy harvesting.
Proceedings of the 34th IEEE International Conference on Computer Design, 2016

Neural Network-based Prediction Algorithms for In-Door Multi-Source Energy Harvesting System for Non-Volatile Processors.
Proceedings of the 26th edition on Great Lakes Symposium on VLSI, 2016

2015
Multi-source energy harvesting management and optimization for non-volatile processors.
Proceedings of the Sixth International Green and Sustainable Computing Conference, 2015


  Loading...