Xue Lin
This page is a disambiguation page, it actually contains mutiple papers from persons of the same or a similar name.
Bibliography
2025
Pattern Recognit., 2025
2024
ACM Trans. Archit. Code Optim., December, 2024
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., October, 2024
IEEE Trans. Circuits Syst. Video Technol., June, 2024
Quantized Iterative Learning Bipartite Containment Tracking Control for Unknown Nonlinear Multi-agent Systems.
Neural Process. Lett., June, 2024
IEEE Trans. Circuits Syst. II Express Briefs, February, 2024
Adaptive fixed-time neural consensus control for a class of uncertain nonlinear multi-agent systems with full state constraints.
Neurocomputing, 2024
Found. Trends Priv. Secur., 2024
IEEE Control. Syst. Lett., 2024
LUTMUL: Exceed Conventional FPGA Roofline Limit by LUT-based Efficient Multiplication for Neural Network Inference.
CoRR, 2024
MoE-Pruner: Pruning Mixture-of-Experts Large Language Model using the Hints from Its Router.
CoRR, 2024
CoRR, 2024
JIGMARK: A Black-Box Approach for Enhancing Image Watermarks against Diffusion Model Edits.
CoRR, 2024
Detection and Recovery Against Deep Neural Network Fault Injection Attacks Based on Contrastive Learning.
CoRR, 2024
Manifold-Regularized Feature Selector for High-Resolution Aerial Photographs Categorization.
IEEE Access, 2024
HybridFlow: Infusing Continuity into Masked Codebook for Extreme Low-Bitrate Image Compression.
Proceedings of the 32nd ACM International Conference on Multimedia, MM 2024, Melbourne, VIC, Australia, 28 October 2024, 2024
SyncIntellects: Orchestrating LLM Inference with Progressive Prediction and QoS-Friendly Control.
Proceedings of the 32nd IEEE/ACM International Symposium on Quality of Service, 2024
Proceedings of the International Symposium on Networks, Computers and Communications, 2024
Proceedings of the Thirty-Third International Joint Conference on Artificial Intelligence, 2024
Quasar-ViT: Hardware-Oriented Quantization-Aware Architecture Search for Vision Transformers.
Proceedings of the 38th ACM International Conference on Supercomputing, 2024
Proceedings of the 34th International Conference on Field-Programmable Logic and Applications, 2024
Proceedings of the Findings of the Association for Computational Linguistics: EMNLP 2024, 2024
Proceedings of the 2024 Conference on Empirical Methods in Natural Language Processing, 2024
Finding Needles in a Haystack: A Black-Box Approach to Invisible Watermark Detection.
Proceedings of the Computer Vision - ECCV 2024, 2024
SuperFlow: A Fully-Customized RTL-to-GDS Design Automation Flow for Adiabatic Quantum- Flux - Parametron Superconducting Circuits.
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2024
2023
IEEE Trans. Knowl. Data Eng., December, 2023
The Autonomous Vehicle Assistant (AVA): Emerging technology design supporting blind and visually impaired travelers in autonomous transportation.
Int. J. Hum. Comput. Stud., November, 2023
Effects of Motion-Relevant Knowledge From Unlabeled Video to Human-Object Interaction Detection.
IEEE Trans. Neural Networks Learn. Syst., September, 2023
Virtual Coupling-Based H<sub>∞</sub> Active Fault-Tolerant Cooperative Control for Multiple High-Speed Trains With Unknown Parameters and Actuator Faults.
IEEE J. Emerg. Sel. Topics Circuits Syst., September, 2023
IEEE Trans. Circuits Syst. Video Technol., February, 2023
IEEE Trans. Multim., 2023
Gaining the Sparse Rewards by Exploring Binary Lottery Tickets in Spiking Neural Network.
CoRR, 2023
Proceedings of the ACM Web Conference 2023, 2023
ASSET: Robust Backdoor Data Detection Across a Multiplicity of Deep Learning Paradigms.
Proceedings of the 32nd USENIX Security Symposium, 2023
Fast and Fair Medical AI on the Edge Through Neural Architecture Search for Hybrid Vision Models.
Proceedings of the IEEE/ACM International Conference on Computer Aided Design, 2023
Proceedings of the IEEE High Performance Extreme Computing Conference, 2023
Proceedings of the IEEE International Symposium on High-Performance Computer Architecture, 2023
ESRU: Extremely Low-Bit and Hardware-Efficient Stochastic Rounding Unit Design for Low-Bit DNN Training.
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2023
Late Breaking Results: Fast Fair Medical Applications? Hybrid Vision Models Achieve the Fairness on the Edge.
Proceedings of the 60th ACM/IEEE Design Automation Conference, 2023
Pruning Parameterization with Bi-level Optimization for Efficient Semantic Segmentation on the Edge.
Proceedings of the IEEE/CVF Conference on Computer Vision and Pattern Recognition, 2023
Multi-modal medical image classification method combining graph convolution neural networks.
Proceedings of the 26th International Conference on Computer Supported Cooperative Work in Design, 2023
Proceedings of the Thirty-Seventh AAAI Conference on Artificial Intelligence, 2023
Proceedings of the Workshop on Artificial Intelligence Safety 2023 (SafeAI 2023) co-located with the Thirty-Seventh AAAI Conference on Artificial Intelligence (AAAI 2023), 2023
2022
Mobile or FPGA? A Comprehensive Evaluation on Energy Efficiency and a Unified Optimization Framework.
ACM Trans. Embed. Comput. Syst., September, 2022
Automatic Mapping of the Best-Suited DNN Pruning Schemes for Real-Time Mobile Acceleration.
ACM Trans. Design Autom. Electr. Syst., 2022
IEEE Trans. Neural Networks Learn. Syst., 2022
IEEE Trans. Neural Networks Learn. Syst., 2022
Model-Free Adaptive Iterative Learning Bipartite Containment Control for Multi-Agent Systems.
Sensors, 2022
GRIM: A General, Real-Time Deep Learning Inference Framework for Mobile Devices Based on Fine-Grained Structured Weight Sparsity.
IEEE Trans. Pattern Anal. Mach. Intell., 2022
Stability and Bifurcation Analysis on a Fractional Model of Disease Spreading with Different Time Delays.
Neural Process. Lett., 2022
Efficient Multi-Prize Lottery Tickets: Enhanced Accuracy, Training, and Inference Speed.
CoRR, 2022
Briefings Bioinform., 2022
Briefings Bioinform., 2022
Appl. Intell., 2022
More or Less (MoL): Defending against Multiple Perturbation Attacks on Deep Neural Networks through Model Ensemble and Compression.
Proceedings of the IEEE/CVF Winter Conference on Applications of Computer Vision Workshops, 2022
Image Classification of Alzheimer's Disease based on Residual Bilinear and Attentive Models.
Proceedings of the 18th International Conference on Mobility, Sensing and Networking, 2022
Proceedings of the 23rd International Symposium on Quality Electronic Design, 2022
Proceedings of the Thirty-First International Joint Conference on Artificial Intelligence, 2022
Pruning-as-Search: Efficient Neural Architecture Search via Channel Pruning and Structural Reparameterization.
Proceedings of the Thirty-First International Joint Conference on Artificial Intelligence, 2022
Proceedings of the IEEE International Conference on Multimedia and Expo Workshops, 2022
Proceedings of the Tenth International Conference on Learning Representations, 2022
Auto-ViT-Acc: An FPGA-Aware Automatic Acceleration Framework for Vision Transformer with Mixed-Scheme Quantization.
Proceedings of the 32nd International Conference on Field-Programmable Logic and Applications, 2022
FILM-QNN: Efficient FPGA Acceleration of Deep Neural Networks with Intra-Layer, Mixed-Precision Quantization.
Proceedings of the FPGA '22: The 2022 ACM/SIGDA International Symposium on Field-Programmable Gate Arrays, Virtual Event, USA, 27 February 2022, 2022
Fault-Tolerant Deep Neural Networks for Processing-In-Memory based Autonomous Edge Systems.
Proceedings of the 2022 Design, Automation & Test in Europe Conference & Exhibition, 2022
FPGA-aware automatic acceleration framework for vision transformer with mixed-scheme quantization: late breaking results.
Proceedings of the DAC '22: 59th ACM/IEEE Design Automation Conference, San Francisco, California, USA, July 10, 2022
Hardware-efficient stochastic rounding unit design for DNN training: late breaking results.
Proceedings of the DAC '22: 59th ACM/IEEE Design Automation Conference, San Francisco, California, USA, July 10, 2022
Proceedings of the IEEE/CVF Conference on Computer Vision and Pattern Recognition, 2022
Proceedings of the Thirty-Sixth AAAI Conference on Artificial Intelligence, 2022
Proceedings of the IEEE 15th International Conference on Cloud Computing, 2022
2021
IEEE Trans. Circuits Syst. Video Technol., 2021
Improved disturbance-observer-based fault-tolerant control for the linear system subject to unknown actuator faults and multiple disturbances.
Int. J. Control, 2021
ILMPQ : An Intra-Layer Multi-Precision Deep Neural Network Quantization framework for FPGA.
CoRR, 2021
CoRR, 2021
CoRR, 2021
Beta-CROWN: Efficient Bound Propagation with Per-neuron Split Constraints for Complete and Incomplete Neural Network Verification.
CoRR, 2021
MDCC-Net: Multiscale double-channel convolution U-Net framework for colorectal tumor segmentation.
Comput. Biol. Medicine, 2021
Dirty Road Can Attack: Security of Deep Learning based Automated Lane Centering under Physical-World Attack.
Proceedings of the 30th USENIX Security Symposium, 2021
Demo: Security of Deep Learning based Automated Lane Centering under Physical-World Attack.
Proceedings of the IEEE Security and Privacy Workshops, 2021
Brief Industry Paper: Towards Real-Time 3D Object Detection for Autonomous Vehicles with Pruning Search.
Proceedings of the 27th IEEE Real-Time and Embedded Technology and Applications Symposium, 2021
Work in Progress: Mobile or FPGA? A Comprehensive Evaluation on Energy Efficiency and a Unified Optimization Framework.
Proceedings of the 27th IEEE Real-Time and Embedded Technology and Applications Symposium, 2021
Proceedings of the Advances in Neural Information Processing Systems 34: Annual Conference on Neural Information Processing Systems 2021, 2021
Beta-CROWN: Efficient Bound Propagation with Per-neuron Split Constraints for Neural Network Robustness Verification.
Proceedings of the Advances in Neural Information Processing Systems 34: Annual Conference on Neural Information Processing Systems 2021, 2021
Characteristic Examples: High-Robustness, Low-Transferability Fingerprinting of Neural Networks.
Proceedings of the Thirtieth International Joint Conference on Artificial Intelligence, 2021
Fast and Complete: Enabling Complete Neural Network Verification with Rapid and Massively Parallel Incomplete Verifiers.
Proceedings of the 9th International Conference on Learning Representations, 2021
RMSMP: A Novel Deep Neural Network Quantization Framework with Row-wise Mixed Schemes and Multiple Precisions.
Proceedings of the 2021 IEEE/CVF International Conference on Computer Vision, 2021
Achieving on-Mobile Real-Time Super-Resolution with Neural Architecture and Pruning Search.
Proceedings of the 2021 IEEE/CVF International Conference on Computer Vision, 2021
Proceedings of the IEEE International Symposium on High-Performance Computer Architecture, 2021
Proceedings of the 58th ACM/IEEE Design Automation Conference, 2021
NPAS: A Compiler-Aware Framework of Unified Network Pruning and Architecture Search for Beyond Real-Time Mobile Acceleration.
Proceedings of the IEEE Conference on Computer Vision and Pattern Recognition, 2021
An Explainable Convolutional Neural Networks for Automatic Segmentation of the Left Ventricle in Cardiac MRI.
Proceedings of CECNet 2021, 2021
Proceedings of the 32nd British Machine Vision Conference 2021, 2021
Proceedings of the ASPDAC '21: 26th Asia and South Pacific Design Automation Conference, 2021
RT3D: Achieving Real-Time Execution of 3D Convolutional Neural Networks on Mobile Devices.
Proceedings of the Thirty-Fifth AAAI Conference on Artificial Intelligence, 2021
2020
Neural Networks-Based Sliding Mode Fault-Tolerant Control for High-Speed Trains With Bounded Parameters and Actuator Faults.
IEEE Trans. Veh. Technol., 2020
Information Propagation Over Networks With Antagonistic Interactions: The Equilibrium Analysis.
IEEE Trans. Control. Netw. Syst., 2020
IEEE Signal Process. Lett., 2020
Signal Process. Image Commun., 2020
Parallel Comput., 2020
Multim. Tools Appl., 2020
Zeroth-Order Hybrid Gradient Descent: Towards A Principled Black-Box Optimization Framework.
CoRR, 2020
6.7ms on Mobile with over 78% ImageNet Accuracy: Unified Network Pruning and Architecture Search for Beyond Real-Time Mobile Acceleration.
CoRR, 2020
MSP: An FPGA-Specific Mixed-Scheme, Multi-Precision Deep Neural Network Quantization Framework.
CoRR, 2020
Hold Tight and Never Let Go: Security of Deep Learning based Automated Lane Centering under Physical-World Attack.
CoRR, 2020
CoRR, 2020
CoRR, 2020
Security of Deep Learning based Lane Keeping System under Physical-World Adversarial Attack.
CoRR, 2020
CoRR, 2020
BLK-REW: A Unified Block-based DNN Pruning Framework using Reweighted Regularization Method.
CoRR, 2020
Autom., 2020
Proceedings of the Advances in Neural Information Processing Systems 33: Annual Conference on Neural Information Processing Systems 2020, 2020
Alleviating Human-level Shift: A Robust Domain Adaptation Method for Multi-person Pose Estimation.
Proceedings of the MM '20: The 28th ACM International Conference on Multimedia, 2020
Towards Real-Time DNN Inference on Mobile Platforms with Model Pruning and Compiler Optimization.
Proceedings of the Twenty-Ninth International Joint Conference on Artificial Intelligence, 2020
Action-Guided Attention Mining and Relation Reasoning Network for Human-Object Interaction Detection.
Proceedings of the Twenty-Ninth International Joint Conference on Artificial Intelligence, 2020
Proceedings of the 8th International Conference on Learning Representations, 2020
Proceedings of the IEEE/ACM International Conference On Computer Aided Design, 2020
Towards an Efficient and General Framework of Robust Training for Graph Neural Networks.
Proceedings of the 2020 IEEE International Conference on Acoustics, 2020
Proceedings of the 2020 IEEE International Conference on Acoustics, 2020
Proceedings of the GLSVLSI '20: Great Lakes Symposium on VLSI 2020, 2020
Proceedings of the Computer Vision - ECCV 2020, 2020
Proceedings of the 57th ACM/IEEE Design Automation Conference, 2020
Proceedings of the 57th ACM/IEEE Design Automation Conference, 2020
PatDNN: Achieving Real-Time DNN Execution on Mobile Devices with Pattern-based Weight Pruning.
Proceedings of the ASPLOS '20: Architectural Support for Programming Languages and Operating Systems, 2020
Towards Query-Efficient Black-Box Adversary with Zeroth-Order Natural Gradient Descent.
Proceedings of the Thirty-Fourth AAAI Conference on Artificial Intelligence, 2020
Proceedings of the Thirty-Fourth AAAI Conference on Artificial Intelligence, 2020
PCONV: The Missing but Desirable Sparsity in DNN Weight Pruning for Real-Time Execution on Mobile Devices.
Proceedings of the Thirty-Fourth AAAI Conference on Artificial Intelligence, 2020
2019
IEEE Trans. Sustain. Comput., 2019
Robust Adaptive Nonsingular Terminal Sliding Mode Control for Automatic Train Operation.
IEEE Trans. Syst. Man Cybern. Syst., 2019
IEEE Trans. Autom. Control., 2019
Geographically Weighted Regression Effects on Soil Zinc Content Hyperspectral Modeling by Applying the Fractional-Order Differential.
Remote. Sens., 2019
CoRR, 2019
Progressive DNN Compression: A Key to Achieve Ultra-High Weight Pruning and Quantization Rates using ADMM.
CoRR, 2019
Proceedings of the 2019 International Symposium on Signal Processing Systems, 2019
Proceedings of the Advances in Neural Information Processing Systems 32: Annual Conference on Neural Information Processing Systems 2019, 2019
Proceedings of the Twenty-Eighth International Joint Conference on Artificial Intelligence, 2019
Protecting Neural Networks with Hierarchical Random Switching: Towards Better Robustness-Accuracy Trade-off for Stochastic Defenses.
Proceedings of the Twenty-Eighth International Joint Conference on Artificial Intelligence, 2019
Structured Adversarial Attack: Towards General Implementation and Better Interpretability.
Proceedings of the 7th International Conference on Learning Representations, 2019
On the Design of Black-Box Adversarial Examples by Leveraging Gradient-Free Optimization and Operator Splitting Method.
Proceedings of the 2019 IEEE/CVF International Conference on Computer Vision, 2019
Proceedings of the 2019 IEEE/CVF International Conference on Computer Vision, 2019
Experiments of Interferometric Phase Filtering through Weighted Nuclear Norm Minimization.
Proceedings of the 2nd International Conference on Big Data Technologies, 2019
Proceedings of the 25th IEEE International Symposium on High Performance Computer Architecture, 2019
HSIM-DNN: Hardware Simulator for Computation-, Storage- and Power-Efficient Deep Neural Networks.
Proceedings of the 2019 on Great Lakes Symposium on VLSI, 2019
ADMM-based Weight Pruning for Real-Time Deep Learning Acceleration on Mobile Devices.
Proceedings of the 2019 on Great Lakes Symposium on VLSI, 2019
Proceedings of the 56th Annual Design Automation Conference 2019, 2019
Proceedings of the IEEE Conference on Computer Vision and Pattern Recognition, 2019
ADMM-NN: An Algorithm-Hardware Co-Design Framework of DNNs Using Alternating Direction Methods of Multipliers.
Proceedings of the Twenty-Fourth International Conference on Architectural Support for Programming Languages and Operating Systems, 2019
ADMM attack: an enhanced adversarial attack for deep neural networks with undetectable distortions.
Proceedings of the 24th Asia and South Pacific Design Automation Conference, 2019
Universal Approximation Property and Equivalence of Stochastic Computing-Based Neural Networks and Binary Neural Networks.
Proceedings of the Thirty-Third AAAI Conference on Artificial Intelligence, 2019
2018
A Geometry-Based Stochastic Channel Model for the Millimeter-Wave Band in a 3GPP High-Speed Train Scenario.
IEEE Trans. Veh. Technol., 2018
Dynamic Reconfiguration of Thermoelectric Generators for Vehicle Radiators Energy Harvesting Under Location-Dependent Temperature Variations.
IEEE Trans. Very Large Scale Integr. Syst., 2018
Deep reinforcement learning: Algorithm, applications, and ultra-low-power implementation.
Nano Commun. Networks, 2018
ADMM-NN: An Algorithm-Hardware Co-Design Framework of DNNs Using Alternating Direction Method of Multipliers.
CoRR, 2018
A Unified Framework of DNN Weight Pruning and Weight Clustering/Quantization Using ADMM.
CoRR, 2018
Structured Adversarial Attack: Towards General Implementation and Better Interpretability.
CoRR, 2018
CoRR, 2018
IEEE Access, 2018
Proceedings of the 2018 ACM Multimedia Conference on Multimedia Conference, 2018
Proceedings of the International Conference on Computer-Aided Design, 2018
Proceedings of the 2018 IEEE Global Conference on Signal and Information Processing, 2018
Proceedings of the 2018 IEEE Global Conference on Signal and Information Processing, 2018
Prediction-based fast thermoelectric generator reconfiguration for energy harvesting from vehicle radiators.
Proceedings of the 2018 Design, Automation & Test in Europe Conference & Exhibition, 2018
A deep reinforcement learning framework for optimizing fuel economy of hybrid electric vehicles.
Proceedings of the 23rd Asia and South Pacific Design Automation Conference, 2018
Towards Ultra-High Performance and Energy Efficiency of Deep Learning Systems: An Algorithm-Hardware Co-Optimization Framework.
Proceedings of the Thirty-Second AAAI Conference on Artificial Intelligence, 2018
2017
IEEE Trans. Syst. Man Cybern. Syst., 2017
Neural adaptive fault-tolerant control for high-speed trains with input saturation and unknown disturbance.
Neurocomputing, 2017
Int. J. Control, 2017
Hierarchical resource allocation and consolidation framework in a multi-core server cluster using a Markov decision process model.
IET Cyper-Phys. Syst.: Theory & Appl., 2017
CTS2M: concurrent task scheduling and storage management for residential energy consumers under dynamic energy pricing.
IET Cyper-Phys. Syst.: Theory & Appl., 2017
CirCNN: Accelerating and Compressing Deep Neural Networks Using Block-CirculantWeight Matrices.
CoRR, 2017
CoRR, 2017
Mixed H<sub>-</sub>/H<sub>∞</sub> fault detection filter design for the dynamics of high speed train.
Sci. China Inf. Sci., 2017
CirCNN: accelerating and compressing deep neural networks using block-circulant weight matrices.
Proceedings of the 50th Annual IEEE/ACM International Symposium on Microarchitecture, 2017
Data center power management for regulation service using neural network-based power prediction.
Proceedings of the 18th International Symposium on Quality Electronic Design, 2017
Proceedings of the 18th International Symposium on Quality Electronic Design, 2017
Proceedings of the 2017 IEEE/ACM International Symposium on Low Power Electronics and Design, 2017
Proceedings of the 2017 IEEE International Geoscience and Remote Sensing Symposium, 2017
Energy-efficient, high-performance, highly-compressed deep neural network design using block-circulant matrices.
Proceedings of the 2017 IEEE/ACM International Conference on Computer-Aided Design, 2017
PID-type sliding mode fault-tolerant control for high-speed trains using neural networks.
Proceedings of the 56th IEEE Annual Conference on Decision and Control, 2017
Algorithm accelerations for luminescent solar concentrator-enhanced reconfigurable onboard photovoltaic system.
Proceedings of the 22nd Asia and South Pacific Design Automation Conference, 2017
2016
Concurrent Task Scheduling and Dynamic Voltage and Frequency Scaling in a Real-Time Embedded System With Energy Harvesting.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2016
Remote. Sens., 2016
Negotiation-based resource provisioning and task scheduling algorithm for cloud systems.
Proceedings of the 17th International Symposium on Quality Electronic Design, 2016
Proceedings of the 2016 IEEE International Geoscience and Remote Sensing Symposium, 2016
Proceedings of the 34th IEEE International Conference on Computer Design, 2016
Luminescent solar concentrator-based photovoltaic reconfiguration for hybrid and plug-in electric vehicles.
Proceedings of the 34th IEEE International Conference on Computer Design, 2016
A Reinforcement Learning-Based Power Management Framework for Green Computing Data Centers.
Proceedings of the 2016 IEEE International Conference on Cloud Engineering, 2016
A Profit Optimization Framework of Energy Storage Devices in Data Centers: Hierarchical Structure and Hybrid Types.
Proceedings of the 9th IEEE International Conference on Cloud Computing, 2016
2015
Task Scheduling with Dynamic Voltage and Frequency Scaling for Energy Minimization in the Mobile Cloud Computing Environment.
IEEE Trans. Serv. Comput., 2015
Performance Comparisons Between 7-nm FinFET and Conventional Bulk CMOS Standard Cell Libraries.
IEEE Trans. Circuits Syst. II Express Briefs, 2015
Nonlocal SAR Interferometric Phase Filtering Through Higher Order Singular Value Decomposition.
IEEE Geosci. Remote. Sens. Lett., 2015
Optimizing fuel economy of hybrid electric vehicles using a Markov decision process model.
Proceedings of the 2015 IEEE Intelligent Vehicles Symposium, 2015
Study on effect factors of multisquint estimation of time-varying baseline errors in repeat-pass airborne SAR.
Proceedings of the 2015 IEEE International Geoscience and Remote Sensing Symposium, 2015
Machine Learning-Based Energy Management in a Hybrid Electric Vehicle to Minimize Total Operating Cost.
Proceedings of the IEEE/ACM International Conference on Computer-Aided Design, 2015
Event-driven and sensorless photovoltaic system reconfiguration for electric vehicles.
Proceedings of the 2015 Design, Automation & Test in Europe Conference & Exhibition, 2015
Joint automatic control of the powertrain and auxiliary systems to enhance the electromobility in hybrid electric vehicles.
Proceedings of the 52nd Annual Design Automation Conference, 2015
Reinforcement learning-based control of residential energy storage systems for electric bill minimization.
Proceedings of the 12th Annual IEEE Consumer Communications and Networking Conference, 2015
Negotiation-based task scheduling and storage control algorithm to minimize user's electric bills under dynamic prices.
Proceedings of the 20th Asia and South Pacific Design Automation Conference, 2015
Proceedings of the 8th IEEE International Conference on Cloud Computing, 2015
2014
Single-Source, Single-Destination Charge Migration in Hybrid Electrical Energy Storage Systems.
IEEE Trans. Very Large Scale Integr. Syst., 2014
IEEE Trans. Smart Grid, 2014
Architecture and Control Algorithms for Combating Partial Shading in Photovoltaic Systems.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2014
Exponential Stabilization of Neutral-Type Neural Networks with Mixed Interval Time-Varying Delays by Intermittent Control: A CCL Approach.
Circuits Syst. Signal Process., 2014
5nm FinFET Standard Cell Library Optimization and Circuit Synthesis in Near-and Super-Threshold Voltage Regimes.
Proceedings of the IEEE Computer Society Annual Symposium on VLSI, 2014
FinCACTI: Architectural Analysis and Modeling of Caches with Deeply-Scaled FinFET Devices.
Proceedings of the IEEE Computer Society Annual Symposium on VLSI, 2014
Stack sizing analysis and optimization for FinFET logic cells and circuits operating in the sub/near-threshold regime.
Proceedings of the Fifteenth International Symposium on Quality Electronic Design, 2014
An improved logical effort model and framework applied to optimal sizing of circuits operating in multiple supply voltage regimes.
Proceedings of the Fifteenth International Symposium on Quality Electronic Design, 2014
Coordination of the smart grid and distributed data centers: A nested game-based optimization framework.
Proceedings of the IEEE PES Innovative Smart Grid Technologies Conference, 2014
Topography- and aperture-dependent motion compensation for airborne SAR: A back projection approach.
Proceedings of the 2014 IEEE Geoscience and Remote Sensing Symposium, 2014
Power supply and consumption co-optimization of portable embedded systems with hybrid power supply.
Proceedings of the 32nd IEEE International Conference on Computer Design, 2014
Proceedings of the IEEE/ACM International Conference on Computer-Aided Design, 2014
Optimal power switch design methodology for ultra dynamic voltage scaling with a limited number of power rails.
Proceedings of the Great Lakes Symposium on VLSI 2014, GLSVLSI '14, Houston, TX, USA - May 21, 2014
Energy optimal sizing of FinFET standard cells operating in multiple voltage regimes using adaptive independent gate control.
Proceedings of the Great Lakes Symposium on VLSI 2014, GLSVLSI '14, Houston, TX, USA - May 21, 2014
Minimizing state-of-health degradation in hybrid electrical energy storage systems with arbitrary source and load profiles.
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2014
Semi-analytical current source modeling of FinFET devices operating in near/sub-threshold regime with independent gate control and considering process variation.
Proceedings of the 19th Asia and South Pacific Design Automation Conference, 2014
Energy and Performance-Aware Task Scheduling in a Mobile Cloud Computing Environment.
Proceedings of the 2014 IEEE 7th International Conference on Cloud Computing, Anchorage, AK, USA, June 27, 2014
2013
Robust passive filtering for neutral-type neural networks with time-varying discrete and unbounded distributed delays.
J. Frankl. Inst., 2013
A Nested Two Stage Game-Based Optimization Framework in Mobile Cloud Computing System.
Proceedings of the Seventh IEEE International Symposium on Service-Oriented System Engineering, 2013
Proceedings of the International Symposium on Quality Electronic Design, 2013
A framework of concurrent task scheduling and dynamic voltage and frequency scaling in real-time embedded systems with energy harvesting.
Proceedings of the International Symposium on Low Power Electronics and Design (ISLPED), 2013
A sequential game perspective and optimization of the smart grid with distributed data centers.
Proceedings of the IEEE PES Innovative Smart Grid Technologies Conference, 2013
Joint sizing and adaptive independent gate control for FinFET circuits operating in multiple voltage regimes using the logical effort method.
Proceedings of the IEEE/ACM International Conference on Computer-Aided Design, 2013
Optimal control of a grid-connected hybrid electrical energy storage system for homes.
Proceedings of the Design, Automation and Test in Europe, 2013
Capital cost-aware design and partial shading-aware architecture optimization of a reconfigurable photovoltaic system.
Proceedings of the Design, Automation and Test in Europe, 2013
An optimal control policy in a mobile cloud computing system based on stochastic data.
Proceedings of the IEEE 2nd International Conference on Cloud Networking, 2013
2012
Enhancing efficiency and robustness of a photovoltaic power system under partial shading.
Proceedings of the Thirteenth International Symposium on Quality Electronic Design, 2012
Dynamic reconfiguration of photovoltaic energy harvesting system in hybrid electric vehicles.
Proceedings of the International Symposium on Low Power Electronics and Design, 2012
Proceedings of the 2012 IEEE International Geoscience and Remote Sensing Symposium, 2012
Proceedings of the 2012 IEEE/ACM International Conference on Computer-Aided Design, 2012
Proceedings of the 2012 Design, Automation & Test in Europe Conference & Exhibition, 2012
Near-optimal, dynamic module reconfiguration in a photovoltaic system to combat partial shading effects.
Proceedings of the 49th Annual Design Automation Conference 2012, 2012
2011
Int. J. Technoethics, 2011
2009
The ordering of expression among a few genes can provide simple cancer biomarkers and signal BRCA1 mutations.
BMC Bioinform., 2009
2006
A summarization approach for Affymetrix GeneChip data using a reference training set from a large, biologically diverse database.
BMC Bioinform., 2006