Paul Bogdan

Orcid: 0000-0003-2118-0816

According to our database1, Paul Bogdan authored at least 151 papers between 2006 and 2024.

Collaborative distances:

Timeline

Legend:

Book 
In proceedings 
Article 
PhD thesis 
Dataset
Other 

Links

On csauthors.net:

Bibliography

2024
Phasor Measurement Unit Change-Point Detection of Frequency Hurst Exponent Anomaly With Time-to-Event.
IEEE Trans. Dependable Secur. Comput., 2024

Exploring Neuron Interactions and Emergence in LLMs: From the Multifractal Analysis Perspective.
CoRR, 2024

2023
A Scalable Distributed Dynamical Systems Approach to Learn the Strongly Connected Components and Diameter of Networks.
IEEE Trans. Autom. Control., May, 2023

Unlocking Deep Learning: A BP-Free Approach for Parallel Block-Wise Training of Neural Networks.
CoRR, 2023

Discovering Malicious Signatures in Software from Structural Interactions.
CoRR, 2023

Leveraging Reinforcement Learning and Large Language Models for Code Optimization.
CoRR, 2023

Leader-Follower Neural Networks with Local Error Signals Inspired by Complex Collectives.
CoRR, 2023

Neuro-Inspired Hierarchical Multimodal Learning.
CoRR, 2023

Fractional dynamics foster deep learning of COPD stage prediction.
CoRR, 2023

Coupled Multiwavelet Neural Operator Learning for Coupled Partial Differential Equations.
CoRR, 2023

A Majority Logic Synthesis Framework For Single Flux Quantum Circuits.
CoRR, 2023

C-SAR: SAT Attack Resistant Logic Locking for RSFQ Circuits.
CoRR, 2023

Robust Learning Under Label Noise by Optimizing the Tails of the Loss Distribution.
Proceedings of the International Conference on Machine Learning and Applications, 2023

Generative Decoding of Visual Stimuli.
Proceedings of the International Conference on Machine Learning, 2023

Coupled Multiwavelet Operator Learning for Coupled Differential Equations.
Proceedings of the Eleventh International Conference on Learning Representations, 2023

Raising The Limit of Image Rescaling Using Auxiliary Encoding.
Proceedings of the IEEE International Conference on Acoustics, 2023

Mitigating Epilepsy by Stabilizing Linear Fractional-Order Systems.
Proceedings of the American Control Conference, 2023

SNNOpt: An Application-Specific Design Framework for Spiking Neural Networks.
Proceedings of the 5th IEEE International Conference on Artificial Intelligence Circuits and Systems, 2023

2022
A Design Methodology for Energy-Aware Processing in Unmanned Aerial Vehicles.
ACM Trans. Design Autom. Electr. Syst., 2022

Unifying structural descriptors for biological and bioinspired nanoscale complexes.
Nat. Comput. Sci., 2022

Introduction to the Special Issue on Internet-of-Medical-Things.
ACM Trans. Comput. Heal., 2022

End-to-end Mapping in Heterogeneous Systems Using Graph Representation Learning.
CoRR, 2022

Minimum structural sensor placement for switched linear time-invariant systems and unknown inputs.
Autom., 2022

Fractional cyber-neural systems - A brief survey.
Annu. Rev. Control., 2022

Efficient Representation Learning for Higher-Order Data With Simplicial Complexes.
Proceedings of the Learning on Graphs Conference, 2022

Secure Distributed/Federated Learning: Prediction-Privacy Trade-Off for Multi-Agent System.
Proceedings of the IEEE International Symposium on Information Theory, 2022

Improving Robustness: When and How to Minimize or Maximize the Loss Variance.
Proceedings of the 21st IEEE International Conference on Machine Learning and Applications, 2022

Pareto Policy Adaptation.
Proceedings of the Tenth International Conference on Learning Representations, 2022

Non-Linear Operator Approximations for Initial Value Problems.
Proceedings of the Tenth International Conference on Learning Representations, 2022

Trustworthiness Evaluation and Trust-Aware Design of CNN Architectures.
Proceedings of the Conference on Lifelong Learning Agents, 2022

Learning from Demonstrations under Stochastic Temporal Logic Constraints.
Proceedings of the American Control Conference, 2022

2021
Fractional Dynamics of PMU Data.
IEEE Trans. Smart Grid, 2021

Plasticity-on-Chip Design: Exploiting Self-Similarity for Data Communications.
IEEE Trans. Computers, 2021

A scalable distributed dynamical systems approach to compute the strongly connected components and diameter of networks.
CoRR, 2021

Multiwavelet-based Operator Learning for Differential Equations.
Proceedings of the Advances in Neural Information Processing Systems 34: Annual Conference on Neural Information Processing Systems 2021, 2021

A Distributed Graph-Theoretic Framework for Automatic Parallelization in Multi-core Systems.
Proceedings of Machine Learning and Systems 2021, 2021

Trust-aware Control for Intelligent Transportation Systems.
Proceedings of the IEEE Intelligent Vehicles Symposium, 2021

Learning Hyperbolic Representations of Topological Features.
Proceedings of the 9th International Conference on Learning Representations, 2021

Non-Markovian Reinforcement Learning using Fractional Dynamics.
Proceedings of the 2021 60th IEEE Conference on Decision and Control (CDC), 2021

Learning Code Representations Using Multifractal-based Graph Networks.
Proceedings of the 2021 IEEE International Conference on Big Data (Big Data), 2021

A General Trust Framework for Multi-Agent Systems.
Proceedings of the AAMAS '21: 20th International Conference on Autonomous Agents and Multiagent Systems, 2021

2020
H₂O-Cloud: A Resource and Quality of Service-Aware Task Scheduling Framework for Warehouse-Scale Data Centers.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2020

Biomorphic structural batteries for robotics.
Sci. Robotics, 2020

There Is Hope After All: Quantifying Opinion and Trustworthiness in Neural Networks.
Frontiers Artif. Intell., 2020

Identifying Arguments of Space-Time Fractional Diffusion: Data-Driven Approach.
Frontiers Appl. Math. Stat., 2020

A Vertex Cut based Framework for Load Balancing and Parallelism Optimization in Multi-core Systems.
CoRR, 2020

Efficient Task Mapping for Manycore Systems.
CoRR, 2020

VRoC: Variational Autoencoder-aided Multi-task Rumor Classifier Based on Text.
Proceedings of the WWW '20: The Web Conference 2020, Taipei, Taiwan, April 20-24, 2020, 2020

PMU Visibility Graphs.
Proceedings of the IEEE Power & Energy Society Innovative Smart Grid Technologies Conference, 2020

An Efficient Task Mapping for Manycore Systems.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2020

S4oC: A Self-Optimizing, Self-Adapting Secure System-on-Chip Design Framework to Tackle Unknown Threats - A Network Theoretic, Learning Approach.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2020

Discovering Laws from Observations: A Data-Driven Approach.
Proceedings of the Dynamic Data Driven Applications Systems, 2020

On the Stability and Fairness of Submodular Allocations.
Proceedings of the 59th IEEE Conference on Decision and Control, 2020

Actuator Placement for Heterogeneous Complex Dynamical Networks with Long-Term Memory.
Proceedings of the 2020 American Control Conference, 2020

2019
Self-Optimizing and Self-Programming Computing Systems: A Combined Compiler, Complex Networks, and Machine Learning Approach.
IEEE Trans. Very Large Scale Integr. Syst., 2019

Specification Mining and Robust Design under Uncertainty: A Stochastic Temporal Logic Approach.
ACM Trans. Embed. Comput. Syst., 2019

Autonomous Design Space Exploration of Computing Systems for Sustainability: Opportunities and Challenges.
IEEE Des. Test, 2019

Guest Editors' Introduction: Special Issue on Smart and Autonomous Systems for Sustainability.
IEEE Des. Test, 2019

H2O-Cloud: A Resource and Quality of Service-Aware Task Scheduling Framework for Warehouse-Scale Data Centers.
CoRR, 2019

Design Methodology for Energy Efficient Unmanned Aerial Vehicles.
CoRR, 2019

The actuation spectrum of Spatiotemporal Networks with Power-Law Time Dependencies.
Adv. Complex Syst., 2019

Data-driven perception of neuron point process with unknown unknowns.
Proceedings of the 10th ACM/IEEE International Conference on Cyber-Physical Systems, 2019

Taming extreme heterogeneity via machine learning based design of autonomous manycore systems.
Proceedings of the International Conference on Hardware/Software Codesign and System Synthesis Companion, 2019

Learning Latent Fractional dynamics with Unknown Unknowns.
Proceedings of the 2019 American Control Conference, 2019

2018
Scalable Network-on-Chip Architectures for Brain-Machine Interface Applications.
IEEE Trans. Very Large Scale Integr. Syst., 2018

Selecting Sensors in Biological Fractional-Order Systems.
IEEE Trans. Control. Netw. Syst., 2018

Approximate Submodular Functions and Performance Guarantees.
CoRR, 2018

Toward Enabling Automated Cognition and Decision-Making in Complex Cyber-Physical Systems.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2018

Re-thinking EEG-based non-invasive brain interfaces: modeling and analysis.
Proceedings of the 9th ACM/IEEE International Conference on Cyber-Physical Systems, 2018

Accelerating Coverage Directed Test Generation for Functional Verification: A Neural Network-based Framework.
Proceedings of the 2018 on Great Lakes Symposium on VLSI, 2018

Stochastic Temporal Logic Abstractions: Challenges and Opportunities.
Proceedings of the Formal Modeling and Analysis of Timed Systems, 2018

Prediction-based fast thermoelectric generator reconfiguration for energy harvesting from vehicle radiators.
Proceedings of the 2018 Design, Automation & Test in Europe Conference & Exhibition, 2018

Prometheus: Processing-in-memory heterogeneous architecture design from a multi-layer network theoretic strategy.
Proceedings of the 2018 Design, Automation & Test in Europe Conference & Exhibition, 2018

PMU Change Point Detection of Imminent Voltage Collapse and Stealthy Attacks.
Proceedings of the 57th IEEE Conference on Decision and Control, 2018

Dealing with Unknown Unknowns: Identification and Selection of Minimal Sensing for Fractional Dynamics with Unknown Inputs.
Proceedings of the 2018 Annual American Control Conference, 2018

2017
Multicast-Aware High-Performance Wireless Network-on-Chip Architectures.
IEEE Trans. Very Large Scale Integr. Syst., 2017

Fundamental Challenges Toward Making the IoT a Reachable Reality: A Model-Centric Investigation.
ACM Trans. Design Autom. Electr. Syst., 2017

A Reconfigurable Wireless NoC for Large Scale Microbiome Community Analysis.
IEEE Trans. Computers, 2017

Constructing compact causal mathematical models for complex dynamics.
Proceedings of the 8th International Conference on Cyber-Physical Systems, 2017

Distributed placement of power generation resources in uncertain environments.
Proceedings of the 8th International Conference on Cyber-Physical Systems, 2017

A load balancing inspired optimization framework for exascale multicore systems: A complex networks approach.
Proceedings of the 2017 IEEE/ACM International Conference on Computer-Aided Design, 2017

2016
Network-on-Chip-Enabled Multicore Platforms for Parallel Model Predictive Control.
IEEE Trans. Very Large Scale Integr. Syst., 2016

Performance Evaluation of NoC-Based Multicore Systems: From Traffic Analysis to NoC Latency Modeling.
ACM Trans. Design Autom. Electr. Syst., 2016

A Support Vector Regression (SVR)-Based Latency Model for Network-on-Chip (NoC) Architectures.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2016

A low-computation-complexity, energy-efficient, and high-performance linear program solver using memristor crossbars.
Proceedings of the 29th IEEE International System-on-Chip Conference, 2016

Kendall's tau of frequency Hurst exponent as blackout proximity Margin.
Proceedings of the 2016 IEEE International Conference on Smart Grid Communications, 2016

Improving NoC performance under spatio-temporal variability by runtime reconfiguration: a general mathematical framework.
Proceedings of the Tenth IEEE/ACM International Symposium on Networks-on-Chip, 2016

Power-aware virtual machine mapping in the data-center-on-a-chip paradigm.
Proceedings of the 34th IEEE International Conference on Computer Design, 2016

A spatio-temporal fractal model for a CPS approach to brain-machine-body interfaces.
Proceedings of the 2016 Design, Automation & Test in Europe Conference & Exhibition, 2016

Scalable and realistic benchmark synthesis for efficient NoC performance evaluation: a complex network analysis approach.
Proceedings of the Eleventh IEEE/ACM/IFIP International Conference on Hardware/Software Codesign and System Synthesis, 2016

Making the internet-of-things a reality: from smart models, sensing and actuation to energy-efficient architectures.
Proceedings of the Eleventh IEEE/ACM/IFIP International Conference on Hardware/Software Codesign and System Synthesis, 2016

Power and thermal management in massive multicore chips: theoretical foundation meets architectural innovation and resource allocation.
Proceedings of the 2016 International Conference on Compilers, 2016

Minimum number of sensors to ensure observability of physiological systems: A case study.
Proceedings of the 54th Annual Allerton Conference on Communication, 2016

2015
Guest Editors' Introduction: Cyber-Physical Systems for Medical Applications.
IEEE Des. Test, 2015

User Cooperation Network Coding Approach for NoC Performance Improvement.
Proceedings of the 9th International Symposium on Networks-on-Chip, 2015

NoC Architectures as Enablers of Biological Discovery for Personalized and Precision Medicine.
Proceedings of the 9th International Symposium on Networks-on-Chip, 2015

Mathematical Modeling and Control of Multifractal Workloads for Data-Center-on-a-Chip Optimization.
Proceedings of the 9th International Symposium on Networks-on-Chip, 2015

Cyber-physical systems for personalized and precise medicine.
Proceedings of the IEEE 58th International Midwest Symposium on Circuits and Systems, 2015

Optimizing fuel economy of hybrid electric vehicles using a Markov decision process model.
Proceedings of the 2015 IEEE Intelligent Vehicles Symposium, 2015

Queueing theory as a modeling tool for bacterial interaction: Implications for microbial fuel cells.
Proceedings of the International Conference on Computing, Networking and Communications, 2015

Machine Learning-Based Energy Management in a Hybrid Electric Vehicle to Minimize Total Operating Cost.
Proceedings of the IEEE/ACM International Conference on Computer-Aided Design, 2015

Mathematical Models and Control Algorithms for Dynamic Optimization of Multicore Platforms: A Complex Dynamics Approach.
Proceedings of the IEEE/ACM International Conference on Computer-Aided Design, 2015

Multiscale modeling of biological communication.
Proceedings of the 2015 IEEE International Conference on Communications, 2015

Energy-efficient computing from systems-on-chip to micro-server and data centers.
Proceedings of the Sixth International Green and Sustainable Computing Conference, 2015

Analyzing the Dark Silicon Phenomenon in a Many-Core Chip Multi-Processor under Deeply-Scaled Process Technologies.
Proceedings of the 25th edition on Great Lakes Symposium on VLSI, GLVLSI 2015, Pittsburgh, PA, USA, May 20, 2015

NoC-enabled multicore architectures for stochastic analysis of biomolecular reactions.
Proceedings of the 2015 Design, Automation & Test in Europe Conference & Exhibition, 2015

A cyber-physical systems approach to personalized medicine: challenges and opportunities for noc-based multicore platforms.
Proceedings of the 2015 Design, Automation & Test in Europe Conference & Exhibition, 2015

Workload Modeling and its Implications on Data-Center-on-a-Chip Optimization: From Mathematical Models to Control Algorithms.
Proceedings of the 20th International Conference on Control Systems and Computer Science, 2015

Minimum number of probes for brain dynamics observability.
Proceedings of the 54th IEEE Conference on Decision and Control, 2015

2014
Exploiting Emergence in On-Chip Interconnects.
IEEE Trans. Computers, 2014

An efficient Network-on-Chip (NoC) based multicore platform for hierarchical parallel genetic algorithms.
Proceedings of the Eighth IEEE/ACM International Symposium on Networks-on-Chip, 2014

Challenges and Opportunities in Design of Control Algorithm for Artificial Pancreas.
Proceedings of the 5th Workshop on Medical Cyber-Physical Systems, 2014

Reinforcement learning based power management for hybrid electric vehicles.
Proceedings of the IEEE/ACM International Conference on Computer-Aided Design, 2014

Reducing risk of closed loop control of blood glucose in artificial pancreas using fractional calculus.
Proceedings of the 36th Annual International Conference of the IEEE Engineering in Medicine and Biology Society, 2014

Low-latency wireless 3D NoCs via randomized shortcut chips.
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2014

Disease Diagnosis-on-a-Chip: Large Scale Networks-on-Chip based Multicore Platform for Protein Folding Analysis.
Proceedings of the 51st Annual Design Automation Conference 2014, 2014

Prediction and control of bursty cloud workloads: A fractal framework.
Proceedings of the 2014 International Conference on Hardware/Software Codesign and System Synthesis, 2014

Trace-Based Analysis and Prediction of Cloud Computing User Behavior Using the Fractal Modeling Technique.
Proceedings of the 2014 IEEE International Congress on Big Data, Anchorage, AK, USA, June 27, 2014

A comprehensive and accurate latency model for Network-on-Chip performance analysis.
Proceedings of the 19th Asia and South Pacific Design Automation Conference, 2014

2013
Dynamic power management for multidomain system-on-chip platforms: An optimal control approach.
ACM Trans. Design Autom. Electr. Syst., 2013

Pacemaker control of heart rate variability: A cyber physical system perspective.
ACM Trans. Embed. Comput. Syst., 2013

Bumpy Rides: Modeling the Dynamics of Chemotactic Interacting Bacteria.
IEEE J. Sel. Areas Commun., 2013

Efficient Modeling and Simulation of Bacteria-Based Nanonetworks with BNSim.
IEEE J. Sel. Areas Commun., 2013

Balls into Bins via Local Search.
Proceedings of the Twenty-Fourth Annual ACM-SIAM Symposium on Discrete Algorithms, 2013

Performance evaluation of multicore systems: from traffic analysis to latency predictions (embedded tutorial).
Proceedings of the IEEE/ACM International Conference on Computer-Aided Design, 2013

SVR-NoC: a performance analysis tool for network-on-chips using learning-based support vector regression model.
Proceedings of the Design, Automation and Test in Europe, 2013

A cyber-physical system approach to artificial pancreas design.
Proceedings of the International Conference on Hardware/Software Codesign and System Synthesis, 2013

A case for wireless 3D NoCs for CMPs.
Proceedings of the 18th Asia and South Pacific Design Automation Conference, 2013

2012
Dynamic power management for multicores: Case study using the intel SCC.
Proceedings of the 20th IEEE/IFIP International Conference on VLSI and System-on-Chip, 2012

An Optimal Control Approach to Power Management for Multi-Voltage and Frequency Islands Multiprocessor Platforms under Highly Variable Workloads.
Proceedings of the 2012 Sixth IEEE/ACM International Symposium on Networks-on-Chip (NoCS), 2012

Implantable Pacemakers Control and Optimization via Fractional Calculus Approaches: A Cyber-Physical Systems Perspective.
Proceedings of the 2012 IEEE/ACM Third International Conference on Cyber-Physical Systems, 2012

Modeling populations of micro-robots for biological applications.
Proceedings of IEEE International Conference on Communications, 2012

A traffic-aware adaptive routing algorithm on a highly reconfigurable network-on-chip architecture.
Proceedings of the 10th International Conference on Hardware/Software Codesign and System Synthesis, 2012

2011
Theoretical Foundations of Multicore Systems Design: A Dynamical Systems Perspective.
PhD thesis, 2011

Hitting Time Analysis for Fault-Tolerant Communication at Nanoscale in Future Multiprocessor Platforms.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2011

Non-Stationary Traffic Analysis and Its Implications on Multicore Platform Design.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2011

Cyberphysical Systems: Workload Modeling and Design Optimization.
IEEE Des. Test Comput., 2011

A software framework for trace analysis targeting multicore platforms design.
Proceedings of the NOCS 2011, 2011

Dynamic power management of voltage-frequency island partitioned Networks-on-Chip using Intel's Single-chip Cloud Computer.
Proceedings of the NOCS 2011, 2011

Towards a Science of Cyber-Physical Systems Design.
Proceedings of the 2011 IEEE/ACM International Conference on Cyber-Physical Systems, 2011

Sustainability through massively integrated computing: Are we ready to break the energy efficiency wall for single-chip platforms?
Proceedings of the Design, Automation and Test in Europe, 2011

A fractional calculus approach to modeling fractal dynamic games.
Proceedings of the 50th IEEE Conference on Decision and Control and European Control Conference, 2011

2010
An Analytical Approach for Network-on-Chip Performance Analysis.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2010

QuaLe: A Quantum-Leap Inspired Model for Non-stationary Analysis of NoC Traffic in Chip Multi-processors.
Proceedings of the NOCS 2010, 2010

Workload characterization and its impact on multicore platform design.
Proceedings of the 8th International Conference on Hardware/Software Codesign and System Synthesis, 2010

2009
The Chip Is the Network: Toward a Science of Network-on-Chip Design.
Found. Trends Electron. Des. Autom., 2009

Statistical physics approaches for network-on-chip traffic characterization.
Proceedings of the 7th International Conference on Hardware/Software Codesign and System Synthesis, 2009

2008
Hitting Time Analysis for Stochastic Communication.
Proceedings of the Nano-Net - Third International ICST Conference, 2008

2007
Stochastic Communication: A New Paradigm for Fault-Tolerant Networks-on-Chip.
VLSI Design, 2007

Quantum-Like Effects in Network-on-Chip Buffers Behavior.
Proceedings of the 44th Design Automation Conference, 2007

2006
A Theoretical Framework for On-chip Stochastic Communication Analysis.
Proceedings of the 1st International ICST Conference on Nano-Networks, 2006


  Loading...