Qinru Qiu

Orcid: 0000-0003-2546-0655

According to our database1, Qinru Qiu authored at least 161 papers between 1998 and 2024.

Collaborative distances:
  • Dijkstra number2 of four.
  • Erdős number3 of four.

Timeline

Legend:

Book 
In proceedings 
Article 
PhD thesis 
Dataset
Other 

Links

On csauthors.net:

Bibliography

2024
Predictive Temporal Attention on Event-based Video Stream for Energy-efficient Situation Awareness.
CoRR, 2024

An Efficient Generalizable Framework for Visuomotor Policies via Control-aware Augmentation and Privilege-guided Distillation.
CoRR, 2024

SWBT: Similarity Weighted Behavior Transformer with the Imperfect Demonstration for Robotic Manipulation.
CoRR, 2024

2023
Cross-Modal Reasoning with Event Correlation for Video Question Answering.
CoRR, 2023

Neuromorphic Online Learning for Spatiotemporal Patterns with a Forward-only Timeline.
CoRR, 2023

SemanticSLAM: Learning based Semantic Map Construction and Robust Camera Localization.
Proceedings of the IEEE Symposium Series on Computational Intelligence, 2023

Catch You if Pay Attention: Temporal Sensor Attack Diagnosis Using Attention Mechanisms for Cyber-Physical Systems.
Proceedings of the IEEE Real-Time Systems Symposium, 2023

SEnsitivity Modulated Importance Networking and Rehearsal for Spike Domain Incremental Learning.
Proceedings of the 2023 International Conference on Neuromorphic Systems, 2023

Multi-Agent Cooperative Games Using Belief Map Assisted Training.
Proceedings of the ECAI 2023 - 26th European Conference on Artificial Intelligence, September 30 - October 4, 2023, Kraków, Poland, 2023

2022
Guest Editorial: IEEE TC Special Issue On Software, Hardware and Applications for Neuromorphic Computing.
IEEE Trans. Computers, 2022

Unsupervised Adaptation of Spiking Networks in a Gradual Changing Environment.
Proceedings of the IEEE High Performance Extreme Computing Conference, 2022

Neural Network Pruning and Fast Training for DRL-based UAV Trajectory Planning.
Proceedings of the 27th Asia and South Pacific Design Automation Conference, 2022

2021
Introduction to the Special Issue on Hardware and Algorithms for Efficient Machine Learning - Part 2.
ACM J. Emerg. Technol. Comput. Syst., 2021

Introduction of Special Issue on Hardware and Algorithms for Efficient Machine Learning-Part 1.
ACM J. Emerg. Technol. Comput. Syst., 2021

1S1R-Based Stable Learning through Single-Spike-Encoded Spike-Timing-Dependent Plasticity.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2021

Applying Machine Learning in Designing Distributed Auction for Multi-agent Task Allocation with Budget Constraints.
Proceedings of the 20th International Conference on Advanced Robotics, 2021

In-Hardware Learning of Multilayer Spiking Neural Networks on a Neuromorphic Processor.
Proceedings of the 58th ACM/IEEE Design Automation Conference, 2021

Neuromorphic Algorithm-hardware Codesign for Temporal Pattern Learning.
Proceedings of the 58th ACM/IEEE Design Automation Conference, 2021

2020
ISLPED 2020: An Experience of Virtual Conference during COVID-19 Time.
IEEE Des. Test, 2020

Simulation of Real-time Routing for UAS traffic Management with Communication and Airspace Safety Considerations.
CoRR, 2020

High-Level Plan for Behavioral Robot Navigation with Natural Language Directions and R-NET.
CoRR, 2020

Mission-Aware Spatio-Temporal Deep Learning Model for UAS Instantaneous Density Prediction.
Proceedings of the 2020 International Joint Conference on Neural Networks, 2020

GISNet: Graph-Based Information Sharing Network For Vehicle Trajectory Prediction.
Proceedings of the 2020 International Joint Conference on Neural Networks, 2020

Automatic Image Labeling with Click Supervision on Aerial Images.
Proceedings of the 2020 International Joint Conference on Neural Networks, 2020

Multivariate Time Series Classification Using Spiking Neural Networks.
Proceedings of the 2020 International Joint Conference on Neural Networks, 2020

Exploiting Neuron and Synapse Filter Dynamics in Spatial Temporal Learning of Deep Spiking Neural Network.
Proceedings of the Twenty-Ninth International Joint Conference on Artificial Intelligence, 2020

MAGNet: Multi-Region Attention-Assisted Grounding of Natural Language Queries at Phrase Level.
Proceedings of the 25th International Conference on Pattern Recognition, 2020

Encoding, Model, and Architecture: Systematic Optimization for Spiking Neural Network in FPGAs.
Proceedings of the IEEE/ACM International Conference On Computer Aided Design, 2020

A Deep Q-Learning Approach for GPU Task Scheduling.
Proceedings of the 2020 IEEE High Performance Extreme Computing Conference, 2020

Database and Benchmark for Early-stage Malicious Activity Detection in 3D Printing.
Proceedings of the 25th Asia and South Pacific Design Automation Conference, 2020

Embedding Compression with Isotropic Iterative Quantization.
Proceedings of the Thirty-Fourth AAAI Conference on Artificial Intelligence, 2020

2019
HEIF: Highly Efficient Stochastic Computing-Based Inference Framework for Deep Neural Networks.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2019

In situ training of feed-forward and recurrent convolutional memristor networks.
Nat. Mach. Intell., 2019

Normalization and dropout for stochastic computing-based deep convolutional neural networks.
Integr., 2019

CircConv: A Structured Convolution with Low Complexity.
CoRR, 2019

A Simulation Framework for Fast Design Space Exploration of Unmanned Air System Traffic Management Policies.
CoRR, 2019

Autonomous UAV with Learned Trajectory Generation and Control.
Proceedings of the 2019 IEEE International Workshop on Signal Processing Systems, 2019

Temporal and Spatial Routing for Large Scale Safe and Connected UAS Traffic Management in Urban Areas.
Proceedings of the 25th IEEE International Conference on Embedded and Real-Time Computing Systems and Applications, 2019

Fast and Accurate Trajectory Tracking for Unmanned Aerial Vehicles based on Deep Reinforcement Learning.
Proceedings of the 25th IEEE International Conference on Embedded and Real-Time Computing Systems and Applications, 2019

A General Framework to Map Neural Networks onto Neuromorphic Processor.
Proceedings of the 20th International Symposium on Quality Electronic Design, 2019

Approximating Back-propagation for a Biologically Plausible Local Learning Rule in Spiking Neural Networks.
Proceedings of the International Conference on Neuromorphic Systems, 2019

Efficient Cloud Resource Management using Neuromorphic Modeling and Prediction for Virtual Machine Resource Utilization.
Proceedings of the 15th IEEE International Conference on Embedded Software and Systems, 2019

An Event-driven Neuromorphic System with Biologically Plausible Temporal Dynamics.
Proceedings of the International Conference on Computer-Aided Design, 2019

E-RNN: Design Optimization for Efficient Recurrent Neural Networks in FPGAs.
Proceedings of the 25th IEEE International Symposium on High Performance Computer Architecture, 2019

Autonomous Waypoint Planning, Optimal Trajectory Generation and Nonlinear Tracking Control for Multi-rotor UAVs.
Proceedings of the 17th European Control Conference, 2019

Autonomous waypoints planning and trajectory generation for multi-rotor UAVs.
Proceedings of the Workshop on Design Automation for CPS and IoT, 2019

2018
AnRAD: A Neuromorphic Anomaly Detection Framework for Massive Concurrent Data Streams.
IEEE Trans. Neural Networks Learn. Syst., 2018

Modular Spiking Neural Circuits for Mapping Long Short-Term Memory on a Neurosynaptic Processor.
IEEE J. Emerg. Sel. Topics Circuits Syst., 2018

Towards Budget-Driven Hardware Optimization for Deep Convolutional Neural Networks Using Stochastic Computing.
Proceedings of the 2018 IEEE Computer Society Annual Symposium on VLSI, 2018

Scalable NoC-based Neuromorphic Hardware Learning and Inference.
Proceedings of the 2018 International Joint Conference on Neural Networks, 2018

Learning Topics Using Semantic Locality.
Proceedings of the 24th International Conference on Pattern Recognition, 2018

Efficient Recurrent Neural Networks using Structured Matrices in FPGAs.
Proceedings of the 6th International Conference on Learning Representations, 2018

C-LSTM: Enabling Efficient LSTM using Structured Compression Techniques on FPGAs.
Proceedings of the 2018 ACM/SIGDA International Symposium on Field-Programmable Gate Arrays, 2018

Optimizing Data Transfers for Improved Performance on Shared GPUs Using Reinforcement Learning.
Proceedings of the 18th IEEE/ACM International Symposium on Cluster, 2018

Towards Ultra-High Performance and Energy Efficiency of Deep Learning Systems: An Algorithm-Hardware Co-Optimization Framework.
Proceedings of the Thirty-Second AAAI Conference on Artificial Intelligence, 2018

2017
CirCNN: Accelerating and Compressing Deep Neural Networks Using Block-CirculantWeight Matrices.
CoRR, 2017

CirCNN: accelerating and compressing deep neural networks using block-circulant weight matrices.
Proceedings of the 50th Annual IEEE/ACM International Symposium on Microarchitecture, 2017

Stable spike-timing dependent plasticity rule for multilayer unsupervised and supervised learning.
Proceedings of the 2017 International Joint Conference on Neural Networks, 2017

Hardware-driven nonlinear activation for stochastic computing based deep convolutional neural networks.
Proceedings of the 2017 International Joint Conference on Neural Networks, 2017

A Hierarchical Framework of Cloud Resource Allocation and Power Management Using Deep Reinforcement Learning.
Proceedings of the 37th IEEE International Conference on Distributed Computing Systems, 2017

A spike-based long short-term memory on a neurosynaptic processor.
Proceedings of the 2017 IEEE/ACM International Conference on Computer-Aided Design, 2017

Energy-efficient, high-performance, highly-compressed deep neural network design using block-circulant matrices.
Proceedings of the 2017 IEEE/ACM International Conference on Computer-Aided Design, 2017

Softmax Regression Design for Stochastic Computing Based Deep Convolutional Neural Networks.
Proceedings of the on Great Lakes Symposium on VLSI 2017, 2017

Structural design optimization for deep convolutional neural networks using stochastic computing.
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2017

Real-time anomaly detection for streaming data using burst code on a neurosynaptic processor.
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2017

SC-DCNN: Highly-Scalable Deep Convolutional Neural Network using Stochastic Computing.
Proceedings of the Twenty-Second International Conference on Architectural Support for Programming Languages and Operating Systems, 2017

Towards acceleration of deep convolutional neural networks using stochastic computing.
Proceedings of the 22nd Asia and South Pacific Design Automation Conference, 2017

2016
A Neuromorphic Architecture for Context Aware Text Image Recognition.
J. Signal Process. Syst., 2016

Guest Editorial: Design and Applications of Neuromorphic Computing System.
IEEE Trans. Multi Scale Comput. Syst., 2016

Assisting fuzzy offline handwriting recognition using recurrent belief propagation.
Proceedings of the 2016 IEEE Symposium Series on Computational Intelligence, 2016

A low-computation-complexity, energy-efficient, and high-performance linear program solver using memristor crossbars.
Proceedings of the 29th IEEE International System-on-Chip Conference, 2016

System Design for In-Hardware STDP Learning and Spiking Based Probablistic Inference.
Proceedings of the IEEE Computer Society Annual Symposium on VLSI, 2016

Towards memristor based accelerator for sparse matrix vector multiplication.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2016

Effective Utilization of CUDA Hyper-Q for Improved Power and Performance Efficiency.
Proceedings of the 2016 IEEE International Parallel and Distributed Processing Symposium Workshops, 2016

Enhancing bidirectional association between deep image representations and loosely correlated texts.
Proceedings of the 2016 International Joint Conference on Neural Networks, 2016

Probabilistic inference using stochastic spiking neural networks on a neurosynaptic processor.
Proceedings of the 2016 International Joint Conference on Neural Networks, 2016

Simulation of bayesian learning and inference on distributed stochastic spiking neural networks.
Proceedings of the 2016 International Joint Conference on Neural Networks, 2016

Designing reconfigurable large-scale deep learning systems using stochastic computing.
Proceedings of the IEEE International Conference on Rebooting Computing, 2016

DSCNN: Hardware-oriented optimization for Stochastic Computing based Deep Convolutional Neural Networks.
Proceedings of the 34th IEEE International Conference on Computer Design, 2016

Towards parallel implementation of associative inference for cogent confabulation.
Proceedings of the 2016 IEEE High Performance Extreme Computing Conference, 2016

Distributed and configurable architecture for neuromorphic applications on heterogeneous cluster.
Proceedings of the 2016 IEEE High Performance Extreme Computing Conference, 2016

2015
Chip Multiprocessor Performance Modeling for Contention Aware Task Migration and Frequency Scaling.
J. Low Power Electron., 2015

The applications of memristor devices in next-generation cortical processor designs.
Proceedings of the 2015 IEEE International Symposium on Circuits and Systems, 2015

Self-structured confabulation network for fast anomaly detection and reasoning.
Proceedings of the 2015 International Joint Conference on Neural Networks, 2015

FPGA Acceleration of Recurrent Neural Network Based Language Model.
Proceedings of the 23rd IEEE Annual International Symposium on Field-Programmable Custom Computing Machines, 2015

Cloning your mind: security challenges in cognitive system designs and their solutions.
Proceedings of the 52nd Annual Design Automation Conference, 2015

2014
Distributed Task Migration in a Homogeneous Many-Core System for Leakage and Fan Power Reduction.
J. Low Power Electron., 2014

Neuromorphic acceleration for context aware text image recognition.
Proceedings of the 2014 IEEE Workshop on Signal Processing Systems, 2014

Bio-inspired computing with resistive memories - models, architectures and applications.
Proceedings of the IEEE International Symposium on Circuits and Systemss, 2014

Accelerating pattern matching in neuromorphic text recognition system using Intel Xeon Phi coprocessor.
Proceedings of the 2014 International Joint Conference on Neural Networks, 2014

Energy Efficient Tracking by Dynamic Voltage and Frequency Scaling on Android Smart Phones.
Proceedings of the International Conference on Distributed Smart Cameras, 2014

Contention aware frequency scaling on CMPs with guaranteed quality of service.
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2014

Battery aware stochastic QoS boosting in mobile computing devices.
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2014

A confabulation model for abnormal vehicle events detection in wide-area traffic monitoring.
Proceedings of the IEEE International Inter-Disciplinary Conference on Cognitive Methods in Situation Awareness and Decision Support, 2014

The stochastic modeling of TiO2 memristor and its usage in neuromorphic system design.
Proceedings of the 19th Asia and South Pacific Design Automation Conference, 2014

2013
Thermal Management in Many Core Systems.
Proceedings of the Evolutionary Based Solutions for Green Computing, 2013

Achieving autonomous power management using reinforcement learning.
ACM Trans. Design Autom. Electr. Syst., 2013

A Parallel Neuromorphic Text Recognition System and Its Implementation on a Heterogeneous High-Performance Computing Cluster.
IEEE Trans. Computers, 2013

A neuromorphic architecture for anomaly detection in autonomous large-area traffic monitoring.
Proceedings of the IEEE/ACM International Conference on Computer-Aided Design, 2013

User-aware energy efficient streaming strategy for smartphone based video playback applications.
Proceedings of the Design, Automation and Test in Europe, 2013

Improving charging efficiency with workload scheduling in energy harvesting embedded systems.
Proceedings of the 50th Annual Design Automation Conference 2013, 2013

Improving energy efficiency for energy harvesting embedded systems.
Proceedings of the 18th Asia and South Pacific Design Automation Conference, 2013

2012
Adaptive Power Management for Energy Harvesting Embedded Systems.
Proceedings of the Handbook of Energy-Aware and Green Computing - Two Volume Set., 2012

Harvesting-Aware Power Management for Real-Time Systems With Renewable Energy.
IEEE Trans. Very Large Scale Integr. Syst., 2012

A Multi-Agent Framework for Thermal Aware Task Migration in Many-Core Systems.
IEEE Trans. Very Large Scale Integr. Syst., 2012

Introduction to the special section on adaptive power management for energy and temperature-aware computing systems.
ACM Trans. Design Autom. Electr. Syst., 2012

Low Power Task Scheduling and Mapping for Applications with Conditional Branches on Heterogeneous Multi-Processor System.
J. Low Power Electron., 2012

A massive parallel neuromorphic computing model for intelligent text recognition.
Proceedings of the IEEE 25th International SOC Conference, 2012

Learning based DVFS for simultaneous temperature, performance and energy management.
Proceedings of the Thirteenth International Symposium on Quality Electronic Design, 2012

A game theoretic resource allocation for overall energy minimization in mobile cloud computing system.
Proceedings of the International Symposium on Low Power Electronics and Design, 2012

Temperature-aware computing: Achievements and remaining challenges.
Proceedings of the 2012 International Green Computing Conference, 2012

Tag-assisted sentence confabulation for intelligent text recognition.
Proceedings of the 2012 IEEE Symposium on Computational Intelligence for Security and Defence Applications, 2012

2011
End-to-End Energy Management.
Computer, 2011

Unified perception-prediction model for context aware text recognition on a heterogeneous many-core platform.
Proceedings of the 2011 International Joint Conference on Neural Networks, 2011

An FPGA-Based Distributed Computing System with Power and Thermal Management Capabilities.
Proceedings of 20th International Conference on Computer Communications and Networks, 2011

Scheduling and mapping of periodic tasks on multi-core embedded systems with energy harvesting.
Proceedings of the 2011 International Green Computing Conference and Workshops, 2011

Dynamic thermal management for multimedia applications using machine learning.
Proceedings of the 48th Design Automation Conference, 2011

Confabulation based sentence completion for machine reading.
Proceedings of the 2011 IEEE Symposium on Computational Intelligence, 2011

2010
Thermal-aware job allocation and scheduling for three dimensional chip multiprocessor.
Proceedings of the 11th International Symposium on Quality of Electronic Design (ISQED 2010), 2010

Load-matching adaptive task scheduling for energy efficiency in energy harvesting real-time embedded systems.
Proceedings of the 2010 International Symposium on Low Power Electronics and Design, 2010

Accurate modeling and prediction of energy availability in energy harvesting real-time embedded systems.
Proceedings of the International Green Computing Conference 2010, 2010

Task allocation for minimum system power in a homogenous multi-core processor.
Proceedings of the International Green Computing Conference 2010, 2010

Enhanced Q-learning algorithm for dynamic power management with performance constraint.
Proceedings of the Design, Automation and Test in Europe, 2010

Distributed task migration for thermal management in many-core systems.
Proceedings of the 47th Design Automation Conference, 2010

2009
Adaptive power management using reinforcement learning.
Proceedings of the 2009 International Conference on Computer-Aided Design, 2009

An adaptive scheduling and voltage/frequency selection algorithm for real-time energy harvesting systems.
Proceedings of the 46th Design Automation Conference, 2009

2008
Bus encoding for simultaneous delay and energy optimization.
Proceedings of the 2008 International Symposium on Low Power Electronics and Design, 2008

A probabilistic technique for full-chip leakage estimation.
Proceedings of the 2008 International Symposium on Low Power Electronics and Design, 2008

Accelerating cogent confabulation: An exploration in the architecture design space.
Proceedings of the International Joint Conference on Neural Networks, 2008

Performance optimization for pattern recognition using associative neural memory.
Proceedings of the 2008 IEEE International Conference on Multimedia and Expo, 2008

Full-chip leakage current estimation based on statistical sampling techniques.
Proceedings of the 18th ACM Great Lakes Symposium on VLSI 2008, 2008

A Framework of Stochastic Power Management Using Hidden Markov Model.
Proceedings of the Design, Automation and Test in Europe, 2008

Adaptive Scheduling and Voltage Scaling for Multiprocessor Real-time Applications with Non-deterministic Workload.
Proceedings of the Design, Automation and Test in Europe, 2008

Energy Aware Dynamic Voltage and Frequency Selection for Real-Time Systems with Energy Harvesting.
Proceedings of the Design, Automation and Test in Europe, 2008

2007
Power optimization for conditional task graphs in DVS enabled multiprocessor systems.
Proceedings of the IFIP VLSI-SoC 2007, 2007

Profile-Based Low Power Scheduling for Conditional Task Graph: A Communication Aware Approach.
Proceedings of the International Symposium on Circuits and Systems (ISCAS 2007), 2007

Resource-aware High Performance Scheduling for Embedded MPSoCs With the Application of MPEG Decoding.
Proceedings of the 2007 IEEE International Conference on Multimedia and Expo, 2007

Hardware acceleration of multi-deme genetic algorithm for the application of DNA codeword searching.
Proceedings of the Genetic and Evolutionary Computation Conference, 2007

Hardware Acceleration for Thermodynamic Constrained DNA Code Generation.
Proceedings of the DNA Computing, 13th International Meeting on DNA Computing, 2007

Stochastic modeling and optimization for robust power management in a partially observable system.
Proceedings of the 2007 Design, Automation and Test in Europe Conference and Exposition, 2007

Architectural Design and Complexity Analysis of Large-Scale Cortical Simulation on a Hybrid Computing Platform.
Proceedings of the 2007 IEEE Symposium on Computational Intelligence in Security and Defense Applications, 2007

Hybrid Architecture for Accelerating DNA Codeword Library Searching.
Proceedings of the 2007 IEEE Symposium on Computational Intelligence in Bioinformatics and Computational Biology, 2007

2006
Low-Density Parity-Check Coded Distributed Space-Time Cooperative System.
Proceedings of the 63rd IEEE Vehicular Technology Conference, 2006

Lifetime aware resource management for sensor network using distributed genetic algorithm.
Proceedings of the 2006 International Symposium on Low Power Electronics and Design, 2006

Design considerations for digital circuits using organic thin film transistors on a flexible substrate.
Proceedings of the International Symposium on Circuits and Systems (ISCAS 2006), 2006

Adaptive low-power bus encoding based on weighted code mapping.
Proceedings of the International Symposium on Circuits and Systems (ISCAS 2006), 2006

Task Merging for Dynamic Power Management of Cyclic Applications in Real-Time Multi-Processor Systems.
Proceedings of the 24th International Conference on Computer Design (ICCD 2006), 2006

Distributed genetic algorithm for energy-efficient resource management in sensor networks.
Proceedings of the Genetic and Evolutionary Computation Conference, 2006

Low-power bus encoding using an adaptive hybrid algorithm.
Proceedings of the 43rd Design Automation Conference, 2006

Workload prediction and dynamic voltage scaling for MPEG decoding.
Proceedings of the 2006 Conference on Asia South Pacific Design Automation: ASP-DAC 2006, 2006

2005
Partitioned bus coding for energy reduction.
Proceedings of the 2005 Conference on Asia South Pacific Design Automation, 2005

2004
ESACW: an adaptive algorithm for transmission power reduction in wireless networks.
Proceedings of the 2004 International Symposium on Low Power Electronics and Design, 2004

2001
Estimation of peak power dissipation in VLSI circuits using thelimiting distributions of extreme order statistics.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2001

Stochastic modeling of a power-managed system-construction andoptimization.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2001

Dynamic Power Management in a Mobile Multimedia System with Guaranteed Quality-of-Service.
Proceedings of the 38th Design Automation Conference, 2001

2000
Dynamic power management of complex systems using generalized stochastic Petri nets.
Proceedings of the 37th Conference on Design Automation, 2000

An interleaved dual-battery power supply for battery-operated electronics.
Proceedings of ASP-DAC 2000, 2000

1999
Stochastic modeling of a power-managed system: construction and optimization.
Proceedings of the 1999 International Symposium on Low Power Electronics and Design, 1999

Dynamic Power Management Based on Continuous-Time Markov Decision Processes.
Proceedings of the 36th Conference on Design Automation, 1999

1998
Cycle-accurate macro-models for RT-level power analysis.
IEEE Trans. Very Large Scale Integr. Syst., 1998

Maximum Power Estimation Using the Limiting Distributions of Extreme Order Statistics.
Proceedings of the 35th Conference on Design Automation, 1998


  Loading...