Marco Minutoli

Orcid: 0000-0002-4220-1420

According to our database1, Marco Minutoli authored at least 43 papers between 2015 and 2024.

Collaborative distances:

Timeline

Legend:

Book 
In proceedings 
Article 
PhD thesis 
Dataset
Other 

Links

Online presence:

On csauthors.net:

Bibliography

2024
\texttt{Picasso}: Memory-Efficient Graph Coloring Using Palettes With Applications in Quantum Computing.
CoRR, 2024

2023
Fused Breadth-First Probabilistic Traversals on Distributed GPU Systems.
CoRR, 2023

High-Level Synthesis of Irregular Applications: A Case Study on Influence Maximization.
Proceedings of the 20th ACM International Conference on Computing Frontiers, 2023

AGILE Workflows and Graphs.
Proceedings of the 20th ACM International Conference on Computing Frontiers, 2023

Towards On-Chip Learning for Low Latency Reasoning with End-to-End Synthesis.
Proceedings of the 28th Asia and South Pacific Design Automation Conference, 2023

2022
Svelto: High-Level Synthesis of Multi-Threaded Accelerators for Graph Analytics.
IEEE Trans. Computers, 2022

End-to-End Synthesis of Dynamically Controlled Machine Learning Accelerators.
IEEE Trans. Computers, 2022

Bridging Python to Silicon: The SODA Toolchain.
IEEE Micro, 2022

Scalable and Memory-Efficient Algorithms for Controlling Networked Epidemic Processes Using Multiplicative Weights Update Method.
Proceedings of the Thirty-First International Joint Conference on Artificial Intelligence, 2022

Accelerating Random Forest Classification on GPU and FPGA.
Proceedings of the 51st International Conference on Parallel Processing, 2022

SODA Synthesizer: An Open-Source, Multi-Level, Modular, Extensible Compiler from High-Level Frameworks to Silicon.
Proceedings of the 41st IEEE/ACM International Conference on Computer-Aided Design, 2022

An MLIR-based Compiler Flow for System-Level Design and Hardware Acceleration.
Proceedings of the 41st IEEE/ACM International Conference on Computer-Aided Design, 2022

From High-Level Frameworks to custom Silicon with SODA.
Proceedings of the 2022 IEEE Hot Chips 34 Symposium, 2022

SO(DA)<sup>2</sup>: End-to-end Generation of Specialized Reconfigurable Architectures (Invited Talk).
Proceedings of the 13th Workshop on Parallel Programming and Run-Time Management Techniques for Many-Core Architectures and 11th Workshop on Design Tools and Architectures for Multicore Embedded Computing Platforms, 2022

IMpart: A Partitioning-based Parallel Approach to Accelerate Influence Maximization.
Proceedings of the 29th IEEE International Conference on High Performance Computing, 2022

The SODA approach: leveraging high-level synthesis for hardware/software co-design and hardware specialization: invited.
Proceedings of the DAC '22: 59th ACM/IEEE Design Automation Conference, San Francisco, California, USA, July 10, 2022

HBMax: Optimizing Memory Efficiency for Parallel Influence Maximization on Multicore Architectures.
Proceedings of the International Conference on Parallel Architectures and Compilation Techniques, 2022

2021
EXAGRAPH: Graph and combinatorial methods for enabling exascale applications.
Int. J. High Perform. Comput. Appl., 2021

Single-node partitioned-memory for huge graph analytics: cost and performance trade-offs.
Proceedings of the International Conference for High Performance Computing, 2021

Automated Generation of Integrated Digital and Spiking Neuromorphic Machine Learning Accelerators.
Proceedings of the IEEE/ACM International Conference On Computer Aided Design, 2021

Productive Programming of Distributed Systems with the SHAD C++ Library.
Proceedings of the HPDC '21: The 30th International Symposium on High-Performance Parallel and Distributed Computing, 2021

Invited: Bambu: an Open-Source Research Framework for the High-Level Synthesis of Complex Applications.
Proceedings of the 58th ACM/IEEE Design Automation Conference, 2021

Graph analytics in the exascale era.
Proceedings of the CF '21: Computing Frontiers Conference, 2021

Towards Automatic and Agile AI/ML Accelerator Design with End-to-End Synthesis.
Proceedings of the 32nd IEEE International Conference on Application-specific Systems, 2021

OpenCGRA: Democratizing Coarse-Grained Reconfigurable Arrays.
Proceedings of the 32nd IEEE International Conference on Application-specific Systems, 2021

2020
Preempt: scalable epidemic interventions using submodular optimization on multi-GPU systems.
Proceedings of the International Conference for High Performance Computing, 2020

Vertex Reordering for Real-World Graphs and Applications: An Empirical Evaluation.
Proceedings of the IEEE International Symposium on Workload Characterization, 2020

cuRipples: influence maximization on multi-GPU systems.
Proceedings of the ICS '20: 2020 International Conference on Supercomputing, 2020

SODA: a New Synthesis Infrastructure for Agile Hardware Design of Machine Learning Accelerators.
Proceedings of the IEEE/ACM International Conference On Computer Aided Design, 2020

Practical Distributed Programming in C++.
Proceedings of the HPDC '20: The 29th International Symposium on High-Performance Parallel and Distributed Computing, 2020

Invited: Software Defined Accelerators From Learning Tools Environment.
Proceedings of the 57th ACM/IEEE Design Automation Conference, 2020

2019
A Parallel Graph Environment for Real-World Data Analytics Workflows.
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2019

Fast and Scalable Implementations of Influence Maximization Algorithms.
Proceedings of the 2019 IEEE International Conference on Cluster Computing, 2019

Software defined architectures for data analytics.
Proceedings of the 24th Asia and South Pacific Design Automation Conference, 2019

2018
SHAD: The Scalable High-Performance Algorithms and Data-Structures Library.
Proceedings of the 18th IEEE/ACM International Symposium on Cluster, 2018

2017
High-Performance Data Analytics Beyond the Relational and Graph Data Models with GEMS.
Proceedings of the 2017 IEEE International Parallel and Distributed Processing Symposium Workshops, 2017

2016
Efficient synthesis of graph methods: a dynamically scheduled architecture.
Proceedings of the 35th International Conference on Computer-Aided Design, 2016

A dynamically scheduled architecture for the synthesis of graph methods.
Proceedings of the 2016 IEEE Hot Chips 28 Symposium (HCS), 2016

A Dynamically Scheduled Architecture for the Synthesis of Graph Database Queries.
Proceedings of the 24th IEEE Annual International Symposium on Field-Programmable Custom Computing Machines, 2016

Enabling the high level synthesis of data analytics accelerators.
Proceedings of the Eleventh IEEE/ACM/IFIP International Conference on Hardware/Software Codesign and System Synthesis, 2016

2015
High Level Synthesis of RDF Queries for Graph Analytics.
Proceedings of the IEEE/ACM International Conference on Computer-Aided Design, 2015

Inter-procedural resource sharing in High Level Synthesis through function proxies.
Proceedings of the 25th International Conference on Field Programmable Logic and Applications, 2015

Function Proxies for Improved Resource Sharing in High Level Synthesis.
Proceedings of the 23rd IEEE Annual International Symposium on Field-Programmable Custom Computing Machines, 2015


  Loading...