Fabrizio Ferrandi

Orcid: 0000-0003-0301-4419

According to our database1, Fabrizio Ferrandi authored at least 149 papers between 1993 and 2024.

Collaborative distances:

Timeline

Legend:

Book 
In proceedings 
Article 
PhD thesis 
Dataset
Other 

Links

Online presence:

On csauthors.net:

Bibliography

2024
A System Development Kit for Big Data Applications on FPGA-based Clusters: The EVEREST Approach.
CoRR, 2024

High-Level Synthesis Developments in the Context of European Space Technology Research (Invited Talk).
Proceedings of the 15th Workshop on Parallel Programming and Run-Time Management Techniques for Many-Core Architectures and 13th Workshop on Design Tools and Architectures for Multicore Embedded Computing Platforms, 2024

2023
A Survey on Design Methodologies for Accelerating Deep Learning on Heterogeneous Architectures.
CoRR, 2023

A Survey on Deep Learning Hardware Accelerators for Heterogeneous HPC Platforms.
CoRR, 2023

TrueFloat: A Templatized Arithmetic Library for HLS Floating-Point Operators.
Proceedings of the Embedded Computer Systems: Architectures, Modeling, and Simulation, 2023

Using High-Level Synthesis to model System Verilog procedural timing controls.
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2023

HERMES: qualification of High pErformance pRogrammable Microprocessor and dEvelopment of Software ecosystem.
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2023

High-Level Synthesis of the OpenMP runtime to improve the generation of parallel accelerators.
Proceedings of the 20th ACM International Conference on Computing Frontiers, 2023

Exploration of Synthesis Methods from Simulink Models to FPGA for Aerospace Applications.
Proceedings of the 20th ACM International Conference on Computing Frontiers, 2023

Towards On-Chip Learning for Low Latency Reasoning with End-to-End Synthesis.
Proceedings of the 28th Asia and South Pacific Design Automation Conference, 2023

2022
Svelto: High-Level Synthesis of Multi-Threaded Accelerators for Graph Analytics.
IEEE Trans. Computers, 2022

End-to-End Synthesis of Dynamically Controlled Machine Learning Accelerators.
IEEE Trans. Computers, 2022

SODA Synthesizer: An Open-Source, Multi-Level, Modular, Extensible Compiler from High-Level Frameworks to Silicon.
Proceedings of the 41st IEEE/ACM International Conference on Computer-Aided Design, 2022

From High-Level Frameworks to custom Silicon with SODA.
Proceedings of the 2022 IEEE Hot Chips 34 Symposium, 2022

The SODA approach: leveraging high-level synthesis for hardware/software co-design and hardware specialization: invited.
Proceedings of the DAC '22: 59th ACM/IEEE Design Automation Conference, San Francisco, California, USA, July 10, 2022

Hardware acceleration of complex machine learning models through modern high-level synthesis.
Proceedings of the CF '22: 19th ACM International Conference on Computing Frontiers, Turin, Italy, May 17, 2022

MLIR Loop Optimizations for High-Level Synthesis: A Case Study.
Proceedings of the International Conference on Parallel Architectures and Compilation Techniques, 2022

2021
Automatic Generation of Heterogeneous SoC Architectures With Secure Communications.
IEEE Embed. Syst. Lett., 2021

De-specializing an HLS library for Deep Neural Networks: improvements upon hls4ml.
CoRR, 2021

High-Level Synthesis of Parallel Specifications Coupling Static and Dynamic Controllers.
Proceedings of the 35th IEEE International Parallel and Distributed Processing Symposium, 2021

Automated Generation of Integrated Digital and Spiking Neuromorphic Machine Learning Accelerators.
Proceedings of the IEEE/ACM International Conference On Computer Aided Design, 2021

Parametric Throughput Oriented Large Integer Multipliers for High Level Synthesis.
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2021

EVEREST: A design environment for extreme-scale big data analytics on heterogeneous platforms.
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2021

Invited: Bambu: an Open-Source Research Framework for the High-Level Synthesis of Complex Applications.
Proceedings of the 58th ACM/IEEE Design Automation Conference, 2021

2020
Automated Bug Detection for High-level Synthesis of Multi-threaded Irregular Applications.
ACM Trans. Parallel Comput., 2020

Tensor Optimization for High-Level Synthesis Design Flows.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2020

2019
A Design Flow Engine for the Support of Customized Dynamic High Level Synthesis Flows.
ACM Trans. Reconfigurable Technol. Syst., 2019

Software defined architectures for data analytics.
Proceedings of the 24th Asia and South Pacific Design Automation Conference, 2019

2018
Data Transfers Analysis in Computer Assisted Design Flow of FPGA Accelerators for Aerospace Systems.
IEEE Trans. Multi Scale Comput. Syst., 2018

Enabling Automated Bug Detection for IP-Based Designs Using High-Level Synthesis.
IEEE Des. Test, 2018

2017
Using Efficient Path Profiling to Optimize Memory Consumption of On-Chip Debugging for High-Level Synthesis.
ACM Trans. Embed. Comput. Syst., 2017

Exploiting vectorization in high level synthesis of nested irregular loops.
J. Syst. Archit., 2017

2016
A Survey and Evaluation of FPGA High-Level Synthesis Tools.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2016

Performance Estimation of Task Graphs Based on Path Profiling.
Int. J. Parallel Program., 2016

Efficient synthesis of graph methods: a dynamically scheduled architecture.
Proceedings of the 35th International Conference on Computer-Aided Design, 2016

A dynamically scheduled architecture for the synthesis of graph methods.
Proceedings of the 2016 IEEE Hot Chips 28 Symposium (HCS), 2016

Automated bug detection for pointers and memory accesses in High-Level Synthesis compilers.
Proceedings of the 26th International Conference on Field Programmable Logic and Applications, 2016

A Dynamically Scheduled Architecture for the Synthesis of Graph Database Queries.
Proceedings of the 24th IEEE Annual International Symposium on Field-Programmable Custom Computing Machines, 2016

Enabling the high level synthesis of data analytics accelerators.
Proceedings of the Eleventh IEEE/ACM/IFIP International Conference on Hardware/Software Codesign and System Synthesis, 2016

2015
Modeling Resolution of Resources Contention in Synchronous Data Flow Graphs.
J. Signal Process. Syst., 2015

Trace-based automated logical debugging for high-level synthesis generated circuits.
Proceedings of the 33rd IEEE International Conference on Computer Design, 2015

Code Transformations Based on Speculative SDC Scheduling.
Proceedings of the IEEE/ACM International Conference on Computer-Aided Design, 2015

High Level Synthesis of RDF Queries for Graph Analytics.
Proceedings of the IEEE/ACM International Conference on Computer-Aided Design, 2015

Inter-procedural resource sharing in High Level Synthesis through function proxies.
Proceedings of the 25th International Conference on Field Programmable Logic and Applications, 2015

Function Proxies for Improved Resource Sharing in High Level Synthesis.
Proceedings of the 23rd IEEE Annual International Symposium on Field-Programmable Custom Computing Machines, 2015

Exploiting Outer Loops Vectorization in High Level Synthesis.
Proceedings of the Architecture of Computing Systems - ARCS 2015, 2015

2014
High-level synthesis of memory bound and irregular parallel applications with Bambu.
Proceedings of the 2014 IEEE Hot Chips 26 Symposium (HCS), 2014

An adaptive Memory Interface Controller for improving bandwidth utilization of hybrid and reconfigurable systems.
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2014

2013
Modeling pipelined application with Synchronous Data Flow graphs.
Proceedings of the 2013 International Conference on Embedded Computer Systems: Architectures, 2013

YAPPA: A compiler-based parallelization framework for irregular applications on MPSoCs.
Proceedings of the 24th IEEE International Symposium on Rapid System Prototyping, 2013

Harnessing Adaptivity Analysis for the Automatic Design of Efficient Embedded and HPC Systems.
Proceedings of the 2013 IEEE International Symposium on Parallel & Distributed Processing, 2013

Applications Acceleration through Adaptive Hardware Components.
Proceedings of the 2013 IEEE International Symposium on Parallel & Distributed Processing, 2013

Dynamic AC-scheduling for hardware cores with unknown and uncertain information.
Proceedings of the 2013 IEEE 31st International Conference on Computer Design, 2013

An automated flow for the High Level Synthesis of coarse grained parallel applications.
Proceedings of the 2013 International Conference on Field-Programmable Technology, 2013

Bambu: A modular framework for the high level synthesis of memory-intensive applications.
Proceedings of the 23rd International Conference on Field programmable Logic and Applications, 2013

Scheduling independent liveness analysis for register binding in high level synthesis.
Proceedings of the Design, Automation and Test in Europe, 2013

Ant Colony Optimization for mapping, scheduling and placing in reconfigurable systems.
Proceedings of the 2013 NASA/ESA Conference on Adaptive Hardware and Systems, 2013

2012
Abstract: Speeding-Up Memory Intensive Applications through Adaptive Hardware Accelerators.
Proceedings of the 2012 SC Companion: High Performance Computing, 2012

Instructions activating conditions for hardware-based auto-scheduling.
Proceedings of the Computing Frontiers Conference, CF'12, 2012

Performance estimation of embedded software with confidence levels.
Proceedings of the 17th Asia and South Pacific Design Automation Conference, 2012

2011
A design methodology for the automatic sizing of standard-cell libraries.
Proceedings of the 21st ACM Great Lakes Symposium on VLSI 2010, 2011

A design methodology to implement memory accesses in high-level synthesis.
Proceedings of the 9th International Conference on Hardware/Software Codesign and System Synthesis, 2011

Evaluating Static CMOS Complex Cells in Technology Mapping.
Proceedings of the ARCS 2011, 2011

SYNAPTIC Project: Regularity Applied to Enhance Manufacturability and Yield at Several Abstraction Levels.
Proceedings of the ARCS 2011, 2011

A runtime adaptive controller for supporting hardware components with variable latency.
Proceedings of the 2011 NASA/ESA Conference on Adaptive Hardware and Systems, 2011

2010
Ant Colony Heuristic for Mapping and Scheduling Tasks and Communications on Heterogeneous Embedded Systems.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2010

HArtes: Hardware-Software Codesign for Heterogeneous Multicore Platforms.
IEEE Micro, 2010

Fine grain analysis of simulators accuracy for calibrating performance models.
Proceedings of the 21st IEEE International Symposium on Rapid System Prototyping, 2010

A Fast Heuristic for Extending Standard Cell Libraries with Regular Macro Cells.
Proceedings of the IEEE Computer Society Annual Symposium on VLSI, 2010

Multiprocessor systems-on-chip synthesis using multi-objective evolutionary computation.
Proceedings of the Genetic and Evolutionary Computation Conference, 2010

A reconfigurable multiprocessor architecture for a reliable face recognition implementation.
Proceedings of the Design, Automation and Test in Europe, 2010

Performance modeling of embedded applications with zero architectural knowledge.
Proceedings of the 8th International Conference on Hardware/Software Codesign and System Synthesis, 2010

Mapping and scheduling of parallel C applications with ant colony optimization onto heterogeneous reconfigurable MPSoCs.
Proceedings of the 15th Asia South Pacific Design Automation Conference, 2010

Combining Target-independent Analysis with Dynamic Profiling to Build the Performance Model of a DSP.
Proceedings of the 10th IEEE International Conference on Computer and Information Technology, 2010

2009
Performance estimation for task graphs combining sequential path profiling and control dependence regions.
Proceedings of the 7th ACM/IEEE International Conference on Formal Methods and Models for Codesign (MEMOCODE 2009), 2009

Performance modeling of parallel applications on MPSoCs.
Proceedings of the 2008 IEEE International Symposium on System-on-Chip, 2009

hArtes design flow for heterogeneous platforms.
Proceedings of the 10th International Symposium on Quality of Electronic Design (ISQED 2009), 2009

A multiprocessor self-reconfigurable JPEG2000 encoder.
Proceedings of the 23rd IEEE International Symposium on Parallel and Distributed Processing, 2009

Evolutionary algorithms for the mapping of pipelined applications onto heterogeneous embedded systems.
Proceedings of the Genetic and Evolutionary Computation Conference, 2009

HW/SW methodologies for synchronization in FPGA multiprocessors.
Proceedings of the ACM/SIGDA 17th International Symposium on Field Programmable Gate Arrays, 2009

Mapping pipelined applications onto heterogeneous embedded systems: a bayesian optimization algorithm based approach.
Proceedings of the 7th International Conference on Hardware/Software Codesign and System Synthesis, 2009

Prototyping pipelined applications on a heterogeneous FPGA multiprocessor virtual platform.
Proceedings of the 14th Asia South Pacific Design Automation Conference, 2009

2008
Improving evolutionary exploration to area-time optimization of FPGA designs.
J. Syst. Archit., 2008

Ant colony optimization for mapping and scheduling in heterogeneous multiprocessor systems.
Proceedings of the 2008 International Conference on Embedded Computer Systems: Architectures, 2008

A Multi-objective Genetic Algorithm for Design Space Exploration in High-Level Synthesis.
Proceedings of the IEEE Computer Society Annual Symposium on VLSI, 2008

A Dual-Priority Real-Time Multiprocessor System on FPGA for Automotive Applications.
Proceedings of the Design, Automation and Test in Europe, 2008

High-level synthesis with multi-objective genetic algorithm: A comparative encoding analysis.
Proceedings of the IEEE Congress on Evolutionary Computation, 2008

Lightweight DMA management mechanisms for multiprocessors on FPGA.
Proceedings of the 19th IEEE International Conference on Application-Specific Systems, 2008

2007
A layout-similarity-based approach for detecting phishing pages.
Proceedings of the Third International Conference on Security and Privacy in Communication Networks and the Workshops, 2007

An Interrupt Controller for FPGA-based Multiprocessors.
Proceedings of the 2007 International Conference on Embedded Computer Systems: Architectures, 2007

An Evolutionary Approach to Area-Time Optimization of FPGA designs.
Proceedings of the 2007 International Conference on Embedded Computer Systems: Architectures, 2007

An Internal Partial Dynamic Reconfiguration Implementation of the JPEG Encoder for Low-Cost FPGAsb.
Proceedings of the 2007 IEEE Computer Society Annual Symposium on VLSI (ISVLSI 2007), 2007

A Pipelined Fast 2D-DCT Accelerator for FPGA-based SoCs.
Proceedings of the 2007 IEEE Computer Society Annual Symposium on VLSI (ISVLSI 2007), 2007

A Hash-based Approach for Functional Regularity Extraction During Logic Synthesis.
Proceedings of the 2007 IEEE Computer Society Annual Symposium on VLSI (ISVLSI 2007), 2007

Two Novel Approaches to Online Partial Bitstream Relocation in a Dynamically Reconfigurable System.
Proceedings of the 2007 IEEE Computer Society Annual Symposium on VLSI (ISVLSI 2007), 2007

Automatic Parallelization of Sequential Specifications for Symmetric MPSoCs.
Proceedings of the Embedded System Design: Topics, Techniques and Trends, IFIP TC10 Working Conference: International Embedded Systems Symposium (IESS), May 30, 2007

A design kit for a fully working shared memory multiprocessor on FPGA.
Proceedings of the 17th ACM Great Lakes Symposium on VLSI 2007, 2007

Fitness inheritance in evolutionary and multi-objective high-level synthesis.
Proceedings of the IEEE Congress on Evolutionary Computation, 2007

A Self-Reconfigurable Implementation of the JPEG Encoder.
Proceedings of the IEEE International Conference on Application-Specific Systems, 2007

2006
Hardware DWT accelerator for MultiProcessor System-on-Chip on FPGA.
Proceedings of 2006 International Conference on Embedded Computer Systems: Architectures, 2006

Automatic Test Pattern Generation with BOA.
Proceedings of the Parallel Problem Solving from Nature, 2006

Dynamic Reconfiguration: Core Relocation via Partial Bitstreams Filtering with Minimal Overhead.
Proceedings of the International Symposium on System-on-Chip, 2006

VHDL to FPGA automatic IP-Core generation: a case study on Xilinx design flow.
Proceedings of the 20th International Parallel and Distributed Processing Symposium (IPDPS 2006), 2006

A SystemC-based Framework of Communication Architecture.
Proceedings of the Forum on specification and Design Languages, 2006

SyCERS: a SystemC Design Exploration Framework for SoC Reconfigurable Architecture.
Proceedings of the 2006 International Conference on Engineering of Reconfigurable Systems & Algorithms, 2006

Using speculative computation and parallelizing techniques to improve scheduling of control based designs.
Proceedings of the 2006 Conference on Asia South Pacific Design Automation: ASP-DAC 2006, 2006

2005
A Framework for the Functional Verification of SystemC Models.
Int. J. Parallel Program., 2005

Caronte: A methodology for the Implementation of Partially dynamically Self-Reconfiguring Systems on FPGA Platforms.
Proceedings of the VLSI-SoC: From Systems To Silicon, 2005

Operating system support for dynamically reconfigurable SoC architectures.
Proceedings of the Proceedings 2005 IEEE International SOC Conference, 2005

A Design Methodology for Dynamic Reconfiguration: The Caronte Architecture.
Proceedings of the 19th International Parallel and Distributed Processing Symposium (IPDPS 2005), 2005

Caronte: A Complete Methodology for the Implementation of Partially Dynamically Self-Reconfiguring Systems on FPGA Platforms.
Proceedings of the 13th IEEE Symposium on Field-Programmable Custom Computing Machines (FCCM 2005), 2005

2004
System Level Hardware-Software Design Exploration with XCS.
Proceedings of the Genetic and Evolutionary Computation, 2004

System-level metrics for hardware/software architectural mapping.
Proceedings of the 2nd IEEE International Workshop on Electronic Design, 2004

2003
Identification of design errors through functional testing.
IEEE Trans. Reliab., 2003

Synthesis of Complex Control Structures from Behavioral SystemC Models.
Proceedings of the 2003 Design, 2003

Mining interesting patterns from hardware-software codesign data with the learning classifier system XCS.
Proceedings of the IEEE Congress on Evolutionary Computation, 2003

2002
Test Generation and Testability Alternatives Exploration of Critical Algorithms for Embedded Applications.
IEEE Trans. Computers, 2002

Behavioral test generation for the selection of BIST logic.
J. Syst. Archit., 2002

Functional Verification for SystemC Descriptions Using Constraint Solving.
Proceedings of the 2002 Design, 2002

Error Simulation Based on the SystemC Design Description Language.
Proceedings of the 2002 Design, 2002

2001
An efficient heuristic approach to solve the unate covering problem.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2001

Semiconcurrent Error Detection in Data Paths.
IEEE Trans. Computers, 2001

Functional test generation for behaviorally sequential models.
Proceedings of the Conference on Design, Automation and Test in Europe, 2001

2000
Symbolic optimization of interacting controllers based onredundancy identification and removal.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2000

Testability Alternatives Exploration through Functional Testing.
Proceedings of the 18th IEEE VLSI Test Symposium (VTS 2000), 30 April, 2000

An Application of Genetic Algorithms and BDDs to Functional Testing.
Proceedings of the IEEE International Conference On Computer Design: VLSI In Computers & Processors, 2000

An approach to functional testing of VLIW architectures.
Proceedings of the IEEE International High-Level Design Validation and Test Workshop 2000, 2000

BIST Architectures Selection Based on Behavioral Testing.
Proceedings of the 15th IEEE International Symposium on Defect and Fault-Tolerance in VLSI Systems (DFT 2000), 2000

1999
Increase the Behavioral Fault Model Accuracy Using High-Level Synthesis Information.
Proceedings of the 14th International Symposium on Defect and Fault-Tolerance in VLSI Systems (DFT '99), 1999

Symbolic Functional Vector Generation for VHDL Specifications.
Proceedings of the 1999 Design, 1999

1998
Implicit test generation for behavioral VHDL models.
Proceedings of the Proceedings IEEE International Test Conference 1998, 1998

Automatic VHDL restructuring for RTL synthesis optimization and testability improvement.
Proceedings of the International Conference on Computer Design: VLSI in Computers and Processors, 1998

Symbolic algorithms for layout-oriented synthesis of pass transistor logic circuits.
Proceedings of the 1998 IEEE/ACM International Conference on Computer-Aided Design, 1998

VHDL Testability Analysis Based on Fault Clustering and Implicit Fault Injection.
Proceedings of the 8th Great Lakes Symposium on VLSI (GLS-VLSI '98), 1998

Power Estimation of Behavioral Descriptions.
Proceedings of the 1998 Design, 1998

1997
Testing Core-Based Systems: A Symbolic Methodology.
IEEE Des. Test Comput., 1997

Application of a Testing Framework to VHDL Descriptions at Different Abstraction Levels.
Proceedings of the Proceedings 1997 International Conference on Computer Design: VLSI in Computers & Processors, 1997

How an "Evolving" Fault Model Improves the Behavioral Test Generation.
Proceedings of the 7th Great Lakes Symposium on VLSI (GLS-VLSI '97), 1997

Configuration-Specific Test Pattern Extraction for Field Programmable Gate Arrays.
Proceedings of the 1997 Workshop on Defect and Fault-Tolerance in VLSI Systems (DFT '97), 1997

Property verification in the design of telecom applications.
Proceedings of the ASP-DAC '97 Asia and South Pacific Design Automation Conference, 1997

1996
Implicit Test Sequences Compaction for Decreasing Test Application Cos.
Proceedings of the 1996 International Conference on Computer Design (ICCD '96), 1996

Test Generation for Networks of Interacting FSMs Using Symbolic Techniques.
Proceedings of the 6th Great Lakes Symposium on VLSI (GLS-VLSI '96), 1996

BDD-based testability estimation of VHDL designs.
Proceedings of the conference on European design automation, 1996

Symbolic Optimization of FSM Networks Based on Sequential ATPG Techniques.
Proceedings of the 33st Conference on Design Automation, 1996

1995
Data Path Testability Analysis Based on BDDs.
Proceedings of the 1995 IEEE International Symposium on Circuits and Systems, ISCAS 1995, Seattle, Washington, USA, April 30, 1995

1994
ALADIN: a multilevel testability analyzer for VLSI system design.
IEEE Trans. Very Large Scale Integr. Syst., 1994

Reduction of fault detection costs through a BDD formalism.
Microprocess. Microprogramming, 1994

1993
An Expert Solution to Functional Testability Analysis of VLSI Circuits.
Proceedings of the SEKE'93, 1993

Reduction of Fault Detection Costs through Testable Design of Sequential Architectures with Signal Feedbacks.
Proceedings of the IEEE International Workshop on Defect and Fault Tolerance in VLSI Systems, 1993


  Loading...