Matteo Perenzoni

Orcid: 0000-0001-8777-1593

According to our database1, Matteo Perenzoni authored at least 57 papers between 2003 and 2023.

Collaborative distances:
  • Dijkstra number2 of four.
  • Erdős number3 of four.

Timeline

Legend:

Book 
In proceedings 
Article 
PhD thesis 
Dataset
Other 

Links

On csauthors.net:

Bibliography

2023
Comparative evaluation of background-rejection techniques for SPAD-based LiDAR systems.
Integr., May, 2023

A 100x100 CMOS SPAD Array with In-Pixel Correlation Techniques for Fast Quantum Ghost Imaging Applications.
Proceedings of the 49th IEEE European Solid State Circuits Conference, 2023

2022
Comparison of background-rejection techniques for SPAD-based LiDAR systems.
Proceedings of the 17th Conference on Ph.D Research in Microelectronics and Electronics, 2022

A Novel Mixed-Signal Silicon Photomultiplier with Analog-Domain Cross-Correlation Computation for LiDAR Applications.
Proceedings of the 17th Conference on Ph.D Research in Microelectronics and Electronics, 2022

A 64×64-Pixel Flash LiDAR SPAD Imager with Distributed Pixel-to-Pixel Correlation for Background Rejection, Tunable Automatic Pixel Sensitivity and First-Last Event Detection Strategies for Space Applications.
Proceedings of the IEEE International Solid-State Circuits Conference, 2022

A monolithic SPAD-based random number generator for cryptographic application.
Proceedings of the 48th IEEE European Solid State Circuits Conference, 2022

A scalable 64×64 pixels monolithic HV-CMOS sensor for hadron therapy with 1ns time stamping capability and in-pixel ADC.
Proceedings of the 48th IEEE European Solid State Circuits Conference, 2022

A reconfigurable 224×272-pixel single-photon image sensor for photon timestamping, counting and binary imaging at 30.0-μm pitch in 11 0nm CIS technology.
Proceedings of the 48th IEEE European Solid State Circuits Conference, 2022

2021
Session 34 Overview: Emerging Imaging Solutions Imagers, Medical, Mems and Displays Subcommittee.
Proceedings of the IEEE International Solid-State Circuits Conference, 2021

F3: Silicon Technologies in the Fight Against Pandemics - From Point of Care to Computational Epidemiology.
Proceedings of the IEEE International Solid-State Circuits Conference, 2021

Co-Design and Optimization of a 320 GHz On-Chip Antenna for THz detection in 65nm CMOS Technology.
Proceedings of the International Conference on IC Design and Technology, 2021

Signal-to-Noise Ratio in Pulsed Mode SiPMs for LiDAR Applications.
Proceedings of the International Conference on IC Design and Technology, 2021

A 0. 94-\mu \mathrm{V}_{\text{rms}}$ Input Noise Pixel-Level Continuous Time $\Sigma\Delta$ IADC Interface for THz Sensing.
Proceedings of the 51st IEEE European Solid-State Device Research Conference, 2021

A 0.94-µVrms Input Noise Pixel-Level Continuous Time ΣΔ IADC Interface for THz Sensing.
Proceedings of the 47th ESSCIRC 2021, 2021

2020
Numerical Model of SPAD-Based Direct Time-of-Flight Flash LIDAR CMOS Image Sensors.
Sensors, 2020

A Low-Power VGA Vision Sensor With Embedded Event Detection for Outdoor Edge Applications.
IEEE J. Solid State Circuits, 2020

A 32 × 32-Pixel CMOS Imager for Quantum Optics With Per-SPAD TDC, 19.48% Fill-Factor in a 44.64-μm Pitch Reaching 1-MHz Observation Rate.
IEEE J. Solid State Circuits, 2020

2019
A Compact TDC-based Quantum Random Number Generator.
Proceedings of the 26th IEEE International Conference on Electronics, Circuits and Systems, 2019

A Low-Power VGA Vision Sensor with Event Detection through Motion Computation based on Pixel-Wise Double-Threshold Background Subtraction and Local Binary Pattern Coding.
Proceedings of the 45th IEEE European Solid State Circuits Conference, 2019

A 16 × 8 Digital-SiPM Array With Distributed Trigger Generator for Low SNR Particle Tracking.
Proceedings of the 45th IEEE European Solid State Circuits Conference, 2019

2018
Design and Characterization of a 43.2-ps and PVT-Resilient TDC for Single-Photon Imaging Arrays.
IEEE Trans. Circuits Syst. II Express Briefs, 2018

A Low-Noise Direct Incremental A/D Converter for FET-Based THz Imaging Detectors.
Sensors, 2018

A 32×32-pixel time-resolved single-photon image sensor with 44.64μm pitch and 19.48% fill-factor with on-chip row/frame skipping features reaching 800kHz observation rate for quantum physics applications.
Proceedings of the 2018 IEEE International Solid-State Circuits Conference, 2018

Live Demostration: Low Power Vision Sensor with Robust Dynamic Background Rejection.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2018

Time-resolved single-photon detectors: Integration challenges in CMOS technologies.
Proceedings of the 2018 International Conference on IC Design & Technology, 2018

Low-Noise Single Photon Avalanche Diodes in a 110nm CIS Technology.
Proceedings of the 48th European Solid-State Device Research Conference, 2018

2017
A 64 × 64-Pixels Digital Silicon Photomultiplier Direct TOF Sensor With 100-MPhotons/s/pixel Background Rejection and Imaging/Altimeter Mode With 0.14% Precision Up To 6 km for Spacecraft Navigation and Landing.
IEEE J. Solid State Circuits, 2017

Live demonstration: Motion detection vision sensor with dynamic background rejection.
Proceedings of the 2017 IEEE SENSORS, Glasgow, United Kingdom, October 29, 2017

A 1.6 mW 320×240-pixel vision sensor with programmable dynamic background rejection and motion detection.
Proceedings of the 2017 IEEE SENSORS, Glasgow, United Kingdom, October 29, 2017

A noise-efficient, in-pixel readout for FET-based THz detectors with direct incremental A/D conversion.
Proceedings of the 43rd IEEE European Solid State Circuits Conference, 2017

2016
A Methodology to Measure Input Power and Effective Area for Characterization of Direct THz Detectors.
IEEE Trans. Instrum. Meas., 2016

Compact SPAD-Based Pixel Architectures for Time-Resolved Image Sensors.
Sensors, 2016

A 160 × 120 Pixel Analog-Counting Single-Photon Imager With Time-Gating and Self-Referenced Column-Parallel A/D Conversion for Fluorescence Lifetime Imaging.
IEEE J. Solid State Circuits, 2016

6.5 A 64×64-pixel digital silicon photomultiplier direct ToF sensor with 100Mphotons/s/pixel background rejection and imaging/altimeter mode with 0.14% precision up to 6km for spacecraft navigation and landing.
Proceedings of the 2016 IEEE International Solid-State Circuits Conference, 2016

A high-gain, low-noise switched capacitor readout for FET-based THz detectors.
Proceedings of the ESSCIRC Conference 2016: 42<sup>nd</sup> European Solid-State Circuits Conference, 2016

2015
11.3 A 160×120-pixel analog-counting single-photon imager with Sub-ns time-gating and self-referenced column-parallel A/D conversion for fluorescence lifetime imaging.
Proceedings of the 2015 IEEE International Solid-State Circuits Conference, 2015

A CMOS analog SiPM front-end for positron emission tomography application.
Proceedings of the 2015 IEEE International Symposium on Circuits and Systems, 2015

A time-based technique for a resistive detector.
Proceedings of the 2015 IEEE International Symposium on Circuits and Systems, 2015

A measurement setup for THz detectors characterization validated on FET-based CMOS test structures.
Proceedings of the 2015 IEEE International Instrumentation and Measurement Technology Conference (I2MTC) Proceedings, 2015

A 30-ns recovery time, 11.5-nC input charge range, 16-channel read-out ASIC for PET application.
Proceedings of the ESSCIRC Conference 2015, 2015

2014
A Fully Digital 8 × 16 SiPM Array for PET Applications With Per-Pixel TDCs and Real-Time Energy Output.
IEEE J. Solid State Circuits, 2014

2013
An 8×16-pixel 92kSPAD time-resolved sensor with on-pixel 64ps 12b TDC and 100MS/s real-time energy histogramming in 0.13µm CIS technology for PET/MRI applications.
Proceedings of the 2013 IEEE International Solid-State Circuits Conference, 2013

2012
A QVGA-range image sensor based on buried-channel demodulator pixels in 0.18μm CMOS with extended dynamic range.
Proceedings of the 2012 IEEE International Solid-State Circuits Conference, 2012

A 160×160-pixel image sensor for multispectral visible, infrared and terahertz detection.
Proceedings of the 38th European Solid-State Circuit conference, 2012

2011
Figures of Merit for Indirect Time-of-Flight 3D Cameras: Definition and Experimental Evaluation.
Remote. Sens., 2011

A Range Image Sensor Based on 10-μm Lock-In Pixels in 0.18-μm CMOS Imaging Technology.
IEEE J. Solid State Circuits, 2011

A 160 ˟ 120-Pixels Range Camera With In-Pixel Correlated Double Sampling and Fixed-Pattern Noise Correction.
IEEE J. Solid State Circuits, 2011

2010
A 134-Pixel CMOS Sensor for Combined Time-of-Flight and Optical Triangulation 3-D Imaging.
IEEE J. Solid State Circuits, 2010

An 80×60 range image sensor based on 10µm 50MHz lock-in pixels in 0.18µm CMOS.
Proceedings of the IEEE International Solid-State Circuits Conference, 2010

A column readout channel for infrared and terahertz bolometers with direct analog to digital conversion.
Proceedings of the International Symposium on Circuits and Systems (ISCAS 2010), May 30, 2010

A 160×120-pixels range camera with on-pixel correlated double sampling and nonuniformity correction in 29.1µm pitch.
Proceedings of the 36th European Solid-State Circuits Conference, 2010

A 160×120-pixel uncooled IR-FPA readout integrated circuit with on-chip non-uniformity compensation.
Proceedings of the 36th European Solid-State Circuits Conference, 2010

2009
A 134-pixel CMOS sensor for combined time-of-flight and Optical Triangulation 3-D imaging.
Proceedings of the 35th European Solid-State Circuits Conference, 2009

2008
A Multispectral Analog Photon-Counting Readout Circuit for X-ray Hybrid Pixel Detectors.
IEEE Trans. Instrum. Meas., 2008

2005
A systematic design procedure for high-speed opamp performance optimization.
Proceedings of the 2005 European Conference on Circuit Theory and Design, 2005

A complete front-end system read-out and temperature control for resistive gas sensor array.
Proceedings of the 2005 European Conference on Circuit Theory and Design, 2005

2003
Analog CMOS implementation of Gallager's iterative decoding algorithm applied to a block turbo code.
Proceedings of the 2003 International Symposium on Circuits and Systems, 2003


  Loading...