Michael LeBeane

According to our database1, Michael LeBeane authored at least 17 papers between 2014 and 2021.

Collaborative distances:
  • Dijkstra number2 of four.
  • Erdős number3 of four.

Timeline

Legend:

Book 
In proceedings 
Article 
PhD thesis 
Dataset
Other 

Links

On csauthors.net:

Bibliography

2021
Increasing GPU Translation Reach by Leveraging Under-Utilized On-Chip Resources.
Proceedings of the MICRO '21: 54th Annual IEEE/ACM International Symposium on Microarchitecture, 2021

2020
<u>G</u>PU <u>i</u>nitiated <u>O</u>penSHMEM: correct and efficient intra-kernel networking for dGPUs.
Proceedings of the PPoPP '20: 25th ACM SIGPLAN Symposium on Principles and Practice of Parallel Programming, 2020

2019
Optimizing GPU Cache Policies for MI Workloads.
CoRR, 2019

Optimizing GPU Cache Policies for MI Workloads.
Proceedings of the IEEE International Symposium on Workload Characterization, 2019

2018
Neighborhood-Aware Address Translation for Irregular GPU Applications.
Proceedings of the 51st Annual IEEE/ACM International Symposium on Microarchitecture, 2018

Lost in Abstraction: Pitfalls of Analyzing GPUs at the Intermediate Language Level.
Proceedings of the IEEE International Symposium on High Performance Computer Architecture, 2018

Case Study of Process Variation-Based Domain Partitioning of GPGPUs.
Proceedings of the 24th IEEE International Symposium on Asynchronous Circuits and Systems, 2018

ComP-net: command processor networking for efficient intra-kernel communications on GPUs.
Proceedings of the 27th International Conference on Parallel Architectures and Compilation Techniques, 2018

2017
GPU triggered networking for intra-kernel communications.
Proceedings of the International Conference for High Performance Computing, 2017

2016
Extended task queuing: active messages for heterogeneous systems.
Proceedings of the International Conference for High Performance Computing, 2016

Genesys: Automatically generating representative training sets for predictive benchmarking.
Proceedings of the International Conference on Embedded Computer Systems: Architectures, 2016

Proxy-Guided Load Balancing of Graph Processing Workloads on Heterogeneous Clusters.
Proceedings of the 45th International Conference on Parallel Processing, 2016

2015
Data partitioning strategies for graph workloads on heterogeneous clusters.
Proceedings of the International Conference for High Performance Computing, 2015

Performance Characterization of Modern Databases on Out-of-Order CPUs.
Proceedings of the 27th International Symposium on Computer Architecture and High Performance Computing, 2015

Watt Watcher: Fine-Grained Power Estimation for Emerging Workloads.
Proceedings of the 27th International Symposium on Computer Architecture and High Performance Computing, 2015

GPGPU Benchmark Suites: How Well Do They Sample the Performance Spectrum?
Proceedings of the 44th International Conference on Parallel Processing, 2015

2014
Control flow behavior of cloud workloads.
Proceedings of the 2014 IEEE International Symposium on Workload Characterization, 2014


  Loading...