Bradford M. Beckmann

Orcid: 0000-0002-5444-6521

According to our database1, Bradford M. Beckmann authored at least 41 papers between 2003 and 2023.

Collaborative distances:

Timeline

Legend:

Book 
In proceedings 
Article 
PhD thesis 
Dataset
Other 

Links

On csauthors.net:

Bibliography

2023
GPU-initiated Fine-grained Overlap of Collective Communication with Computation.
CoRR, 2023


Predict; Don't React for Enabling Efficient Fine-Grain DVFS in GPUs.
Proceedings of the 28th ACM International Conference on Architectural Support for Programming Languages and Operating Systems, 2023

2022
Predict; Do not React for Enabling Efficient Fine Grain DVFS in GPUs.
CoRR, 2022

2021
Byte-Select Compression.
ACM Trans. Archit. Code Optim., 2021

Deadline-Aware Offloading for High-Throughput Accelerators.
Proceedings of the IEEE International Symposium on High-Performance Computer Architecture, 2021

2020
Independent Forward Progress of Work-groups.
Proceedings of the 47th ACM/IEEE Annual International Symposium on Computer Architecture, 2020

Kite: A Family of Heterogeneous Interposer Topologies Enabled via Accurate Interconnect Modeling.
Proceedings of the 57th ACM/IEEE Design Automation Conference, 2020

2019
Optimizing GPU Cache Policies for MI Workloads.
CoRR, 2019

Autonomous Data-Race-Free GPU Testing.
Proceedings of the IEEE International Symposium on Workload Characterization, 2019

Optimizing Hyperplane Sweep Operations Using Asynchronous Multi-grain GPU Tasks.
Proceedings of the IEEE International Symposium on Workload Characterization, 2019

Optimizing GPU Cache Policies for MI Workloads.
Proceedings of the IEEE International Symposium on Workload Characterization, 2019

Killi: Runtime Fault Classification to Deploy Low Voltage Caches without MBIST.
Proceedings of the 25th IEEE International Symposium on High Performance Computer Architecture, 2019

Adaptive Task Aggregation for High-Performance Sparse Solvers on GPUs.
Proceedings of the 28th International Conference on Parallel Architectures and Compilation Techniques, 2019

2018
Oversubscribed Command Queues in GPUs.
Proceedings of the 11th Workshop on General Purpose Processing using GPUs, 2018

Lost in Abstraction: Pitfalls of Analyzing GPUs at the Intermediate Language Level.
Proceedings of the IEEE International Symposium on High Performance Computer Architecture, 2018

Case Study of Process Variation-Based Domain Partitioning of GPGPUs.
Proceedings of the 24th IEEE International Symposium on Asynchronous Circuits and Systems, 2018

2017
Programming GPGPU Graph Applications with Linear Algebra Building Blocks.
Int. J. Parallel Program., 2017

Gravel: fine-grain GPU-initiated network messages.
Proceedings of the International Conference for High Performance Computing, 2017


2016
Implementing directed acyclic graphs with the heterogeneous system architecture.
Proceedings of the 9th Annual Workshop on General Purpose Processing using Graphics Processing Unit, 2016

Lazy release consistency for GPUs.
Proceedings of the 49th Annual IEEE/ACM International Symposium on Microarchitecture, 2016

Software Assisted Hardware Cache Coherence for Heterogeneous Processors.
Proceedings of the Second International Symposium on Memory Systems, 2016

2015
Achieving Exascale Capabilities through Heterogeneous Computing.
IEEE Micro, 2015

Adaptive GPU cache bypassing.
Proceedings of the 8th Workshop on General Purpose Processing using GPUs, 2015

Remote-scope promotion: clarified, rectified, and verified.
Proceedings of the 2015 ACM SIGPLAN International Conference on Object-Oriented Programming, 2015

Graph Coloring on the GPU and Some Techniques to Improve Load Imbalance.
Proceedings of the 2015 IEEE International Parallel and Distributed Processing Symposium Workshop, 2015

Synchronization Using Remote-Scope Promotion.
Proceedings of the Twentieth International Conference on Architectural Support for Programming Languages and Operating Systems, 2015

2014
iCHAT: Inter-cache Hardware-Assistant Data Transfer for Heterogeneous Chip Multiprocessors.
Proceedings of the 9th IEEE International Conference on Networking, 2014

Fine-grain task aggregation and coordination on GPUs.
Proceedings of the ACM/IEEE 41st International Symposium on Computer Architecture, 2014

BelRed: Constructing GPGPU graph applications with software building blocks.
Proceedings of the IEEE High Performance Extreme Computing Conference, 2014

QuickRelease: A throughput-oriented approach to release consistency on GPUs.
Proceedings of the 20th IEEE International Symposium on High Performance Computer Architecture, 2014

Heterogeneous-race-free memory models.
Proceedings of the Architectural Support for Programming Languages and Operating Systems, 2014

2013
Heterogeneous system coherence for integrated CPU-GPU systems.
Proceedings of the 46th Annual IEEE/ACM International Symposium on Microarchitecture, 2013

Pannotia: Understanding irregular GPGPU graph applications.
Proceedings of the IEEE International Symposium on Workload Characterization, 2013

2011
The gem5 simulator.
SIGARCH Comput. Archit. News, 2011

Towards the ideal on-chip fabric for 1-to-many and many-to-1 communication.
Proceedings of the 44rd Annual IEEE/ACM International Symposium on Microarchitecture, 2011

2006
ASR: Adaptive Selective Replication for CMP Caches.
Proceedings of the 39th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO-39 2006), 2006

2005
Multifacet's general execution-driven multiprocessor simulator (GEMS) toolset.
SIGARCH Comput. Archit. News, 2005

2004
Managing Wire Delay in Large Chip-Multiprocessor Caches.
Proceedings of the 37th Annual International Symposium on Microarchitecture (MICRO-37 2004), 2004

2003
TLC: Transmission Line Caches.
Proceedings of the 36th Annual International Symposium on Microarchitecture, 2003


  Loading...