Yan Solihin

Orcid: 0000-0002-8863-941X

According to our database1, Yan Solihin authored at least 135 papers between 1997 and 2024.

Collaborative distances:

Awards

IEEE Fellow

IEEE Fellow 2018, "For contributions to shared cache hierarchies and secure processors".

Timeline

Legend:

Book 
In proceedings 
Article 
PhD thesis 
Dataset
Other 

Links

Online presence:

On csauthors.net:

Bibliography

2024
Data Enclave: A Data-Centric Trusted Execution Environment.
Proceedings of the IEEE International Symposium on High-Performance Computer Architecture, 2024

2023
Modeling User Characteristics Associated with Interdependent Privacy Perceptions on Social Media.
ACM Trans. Comput. Hum. Interact., 2023

User Preferences for Interdependent Privacy Preservation Strategies in Social Media.
Proc. ACM Hum. Comput. Interact., 2023

Exploration of Bitflip's Effect on Deep Neural Network Accuracy in Plaintext and Ciphertext.
IEEE Micro, 2023

Persistent Memory Security Threats to Interprocess Isolation.
IEEE Micro, 2023

Random Segmentation: New Traffic Obfuscation against Packet-Size-Based Side-Channel Attacks.
CoRR, 2023

vFHE: Verifiable Fully Homomorphic Encryption with Blind Hash.
CoRR, 2023

Reconciling Selective Logging and Hardware Persistent Memory Transaction.
Proceedings of the IEEE International Symposium on High-Performance Computer Architecture, 2023

SecPB: Architectures for Secure Non-Volatile Memory with Battery-Backed Persist Buffers.
Proceedings of the IEEE International Symposium on High-Performance Computer Architecture, 2023

TrojBits: A Hardware Aware Inference-Time Attack on Transformer-Based Language Models.
Proceedings of the ECAI 2023 - 26th European Conference on Artificial Intelligence, September 30 - October 4, 2023, Kraków, Poland, 2023

Hardware Support for Durable Atomic Instructions for Persistent Parallel Programming.
Proceedings of the 60th ACM/IEEE Design Automation Conference, 2023

Bottom-up psychosocial interventions for interdependent privacy: Effectiveness based on individual and content differences.
Proceedings of the 2023 CHI Conference on Human Factors in Computing Systems, 2023

SpecPMT: Speculative Logging for Resolving Crash Consistency Overhead of Persistent Memory.
Proceedings of the 28th ACM International Conference on Architectural Support for Programming Languages and Operating Systems, 2023

2022
Preserving Addressability Upon GC-Triggered Data Movements on Non-Volatile Memory.
ACM Trans. Archit. Code Optim., 2022

Persistent Memory Objects: Fast and Easy Crash Consistency for Persistent Memory.
CoRR, 2022

Improving the Security and Programmability of Persistent Memory Objects.
Proceedings of the 2022 IEEE International Symposium on Secure and Private Execution Environment Design (SEED), 2022

Group-wise Reinforcement Feature Generation for Optimal and Explainable Representation Space Reconstruction.
Proceedings of the KDD '22: The 28th ACM SIGKDD Conference on Knowledge Discovery and Data Mining, Washington, DC, USA, August 14, 2022

MAC-Layer Traffic Shaping Defense Against WiFi Device Fingerprinting Attacks.
Proceedings of the IEEE Symposium on Computers and Communications, 2022

FFCCD: fence-free crash-consistent concurrent defragmentation for persistent memory.
Proceedings of the ISCA '22: The 49th Annual International Symposium on Computer Architecture, New York, New York, USA, June 18, 2022

LITE: a low-cost practical inter-operable GPU TEE.
Proceedings of the ICS '22: 2022 International Conference on Supercomputing, Virtual Event, June 28, 2022

Adaptive Security Support for Heterogeneous Memory on GPUs.
Proceedings of the IEEE International Symposium on High-Performance Computer Architecture, 2022

Temporal Exposure Reduction Protection for Persistent Memory.
Proceedings of the IEEE International Symposium on High-Performance Computer Architecture, 2022

WiFi-based IoT Devices Profiling Attack based on Eavesdropping of Encrypted WiFi Traffic.
Proceedings of the 19th IEEE Annual Consumer Communications & Networking Conference, 2022

2021
PETRA: Persistent Transactional Non-blocking Linked Data Structures.
ACM Trans. Archit. Code Optim., 2021

Seeds of SEED: New Security Challenges for Persistent Memory.
Proceedings of the 2021 International Symposium on Secure and Private Execution Environment Design (SEED), 2021

Bonsai Merkle Forests: Efficiently Achieving Crash Consistency in Secure Persistent Memory.
Proceedings of the MICRO '21: 54th Annual IEEE/ACM International Symposium on Microarchitecture, 2021

Analyzing Secure Memory Architecture for GPUs.
Proceedings of the IEEE International Symposium on Performance Analysis of Systems and Software, 2021

Supporting Legacy Libraries on Non-Volatile Memory: A User-Transparent Approach.
Proceedings of the 48th ACM/IEEE Annual International Symposium on Computer Architecture, 2021

PSSM: achieving secure memory for GPUs with partitioned and sectored security metadata.
Proceedings of the ICS '21: 2021 International Conference on Supercomputing, 2021

Hardware-Based Address-Centric Acceleration of Key-Value Store.
Proceedings of the IEEE International Symposium on High-Performance Computer Architecture, 2021

BBB: Simplifying Persistent Programming using Battery-Backed Buffers.
Proceedings of the IEEE International Symposium on High-Performance Computer Architecture, 2021

MILR: Mathematically Induced Layer Recovery for Plaintext Space Error Correction of CNNs.
Proceedings of the 51st Annual IEEE/IFIP International Conference on Dependable Systems and Networks, 2021

SeMPE: Secure Multi Path Execution Architecture for Removing Conditional Branch Side Channels.
Proceedings of the 58th ACM/IEEE Design Automation Conference, 2021

2020
Compiler-support for Critical Data Persistence in NVM.
ACM Trans. Archit. Code Optim., 2020

MILR: Mathematically Induced Layer Recovery for Plaintext Space Error Correction of CNNs.
CoRR, 2020

Streamlining Integrity Tree Updates for Secure Persistent Non-Volatile Memory.
CoRR, 2020

Persist Level Parallelism: Streamlining Integrity Tree Updates for Secure Persistent Memory.
Proceedings of the 53rd Annual IEEE/ACM International Symposium on Microarchitecture, 2020

Hardware-Based Domain Virtualization for Intra-Process Isolation of Persistent Memory Objects.
Proceedings of the 47th ACM/IEEE Annual International Symposium on Computer Architecture, 2020

Scalable and Fast Lazy Persistency on GPUs.
Proceedings of the IEEE International Symposium on Workload Characterization, 2020

A Simple Cache Coherence Scheme for Integrated CPU-GPU Systems.
Proceedings of the 57th ACM/IEEE Design Automation Conference, 2020

WET: Write Efficient Loop Tiling for Non-Volatile Main Memory.
Proceedings of the 57th ACM/IEEE Design Automation Conference, 2020

MERR: Improving Security of Persistent Memory Objects via Efficient Memory Exposure Reduction and Randomization.
Proceedings of the ASPLOS '20: Architectural Support for Programming Languages and Operating Systems, 2020

2019
Efficient Checkpointing with Recompute Scheme for Non-volatile Main Memory.
ACM Trans. Archit. Code Optim., 2019

Persistent Memory: Abstractions, Abstractions, and Abstractions.
IEEE Micro, 2019

Exploiting Unprotected I/O Operations in AMD's Secure Encrypted Virtualization.
Proceedings of the 28th USENIX Security Symposium, 2019

Triad-NVM: persistency for integrity-protected and encrypted non-volatile memories.
Proceedings of the 46th International Symposium on Computer Architecture, 2019

Exploring Memory Persistency Models for GPUs.
Proceedings of the 28th International Conference on Parallel Architectures and Compilation Techniques, 2019

2018
Neighborhood-Aware Address Translation for Irregular GPU Applications.
Proceedings of the 51st Annual IEEE/ACM International Symposium on Microarchitecture, 2018

Scheduling Page Table Walks for Irregular GPU Applications.
Proceedings of the 45th ACM/IEEE Annual International Symposium on Computer Architecture, 2018

Lazy Persistency: A High-Performing and Write-Efficient Software Persistency Technique.
Proceedings of the 45th ACM/IEEE Annual International Symposium on Computer Architecture, 2018

2017
Hardware supported persistent object address translation.
Proceedings of the 50th Annual IEEE/ACM International Symposium on Microarchitecture, 2017

Proteus: a flexible and fast software supported hardware logging approach for NVM.
Proceedings of the 50th Annual IEEE/ACM International Symposium on Microarchitecture, 2017

Clone morphing: Creating new workload behavior from existing applications.
Proceedings of the 2017 IEEE International Symposium on Performance Analysis of Systems and Software, 2017

Hiding the Long Latency of Persist Barriers Using Speculative Execution.
Proceedings of the 44th Annual International Symposium on Computer Architecture, 2017

ObfusMem: A Low-Overhead Access Obfuscation for Trusted Memories.
Proceedings of the 44th Annual International Symposium on Computer Architecture, 2017

Efficient Checkpointing of Loop-Based Codes for Non-volatile Main Memory.
Proceedings of the 26th International Conference on Parallel Architectures and Compilation Techniques, 2017

Avoiding TLB Shootdowns Through Self-Invalidating TLB Entries.
Proceedings of the 26th International Conference on Parallel Architectures and Compilation Techniques, 2017

2016
Accurate Cloning of the Memory Access Behavior.
IPSJ Trans. Syst. LSI Des. Methodol., 2016

Dense Footprint Cache: Capacity-Efficient Die-Stacked DRAM Last Level Cache.
Proceedings of the Second International Symposium on Memory Systems, 2016

Write-Aware Management of NVM-based Memory Extensions.
Proceedings of the 2016 International Conference on Supercomputing, 2016

Silent Shredder: Zero-Cost Shredding for Secure Non-Volatile Main Memory Controllers.
Proceedings of the Twenty-First International Conference on Architectural Support for Programming Languages and Operating Systems, 2016

CAF: Core to Core Communication Acceleration Framework.
Proceedings of the 2016 International Conference on Parallel Architectures and Compilation, 2016

2015
MEMST: Cloning Memory Behavior using Stochastic Traces.
Proceedings of the 2015 International Symposium on Memory Systems, 2015

Emulating cache organizations on real hardware using performance cloning.
Proceedings of the 2015 IEEE International Symposium on Performance Analysis of Systems and Software, 2015

Non-volatile memory host controller interface performance analysis in high-performance I/O systems.
Proceedings of the 2015 IEEE International Symposium on Performance Analysis of Systems and Software, 2015

MeToo: Stochastic Modeling of Memory Traffic Timing Behavior.
Proceedings of the 2015 International Conference on Parallel Architectures and Compilation, 2015

2014
Collaborative Memories in Clusters: Opportunities and Challenges.
Trans. Comput. Sci., 2014

MapReuse: Reusing Computation in an In-Memory MapReduce System.
Proceedings of the 2014 IEEE 28th International Parallel and Distributed Processing Symposium, 2014

STM: Cloning the spatial and temporal memory access behavior.
Proceedings of the 20th IEEE International Symposium on High Performance Computer Architecture, 2014

2013
XAMP: An eXtensible Analytical Model Platform.
Proceedings of the 2012 IEEE International Symposium on Performance Analysis of Systems & Software, 2013

Energy-efficient interconnect via Router Parking.
Proceedings of the 19th IEEE International Symposium on High Performance Computer Architecture, 2013

Active flash: towards energy-efficient, in-situ data analytics on extreme-scale machines.
Proceedings of the 11th USENIX conference on File and Storage Technologies, 2013

Flexible Capacity Partitioning in Many-Core Tiled CMPs.
Proceedings of the 13th IEEE/ACM International Symposium on Cluster, 2013

2012
Architectural characterization and similarity analysis of sunspider and Google's V8 Javascript benchmarks.
Proceedings of the 2012 IEEE International Symposium on Performance Analysis of Systems & Software, 2012

Data sharing in multi-threaded applications and its impact on chip design.
Proceedings of the 2012 IEEE International Symposium on Performance Analysis of Systems & Software, 2012

Modeling and Analyzing Key Performance Factors of Shared Memory MapReduce.
Proceedings of the 26th IEEE International Parallel and Distributed Processing Symposium, 2012

WEST: Cloning data cache behavior using Stochastic Traces.
Proceedings of the 18th IEEE International Symposium on High Performance Computer Architecture, 2012

Evaluating Dynamics and Bottlenecks of Memory Collaboration in Cluster Systems.
Proceedings of the 12th IEEE/ACM International Symposium on Cluster, 2012

2011
Evaluating placement policies for managing capacity sharing in CMP architectures with private caches.
ACM Trans. Archit. Code Optim., 2011

CHOP: Integrating DRAM Caches for CMP Server Platforms.
IEEE Micro, 2011

Studying the impact of hardware prefetching and bandwidth partitioning in chip-multiprocessors.
Proceedings of the SIGMETRICS 2011, 2011

i-NVMM: a secure non-volatile main memory system with incremental encryption.
Proceedings of the 38th International Symposium on Computer Architecture (ISCA 2011), 2011

SecureME: a hardware-software approach to full system security.
Proceedings of the 25th International Conference on Supercomputing, 2011, Tucson, AZ, USA, May 31, 2011

HAQu: Hardware-accelerated queueing for fine-grained threading on a chip multiprocessor.
Proceedings of the 17th International Conference on High-Performance Computer Architecture (HPCA-17 2011), 2011

Architectural framework for supporting operating system survivability.
Proceedings of the 17th International Conference on High-Performance Computer Architecture (HPCA-17 2011), 2011

2010
An Analysis of Secure Processor Architectures.
Trans. Comput. Sci., 2010

Green Secure Processors: Towards Power-Efficient Secure Processor Design.
Trans. Comput. Sci., 2010

Understanding the behavior and implications of context switch misses.
ACM Trans. Archit. Code Optim., 2010

Quality of service shared cache management in chip multiprocessor architecture.
ACM Trans. Archit. Code Optim., 2010

MMT: Exploiting fine-grained parallelism in dynamic memory management.
Proceedings of the 24th IEEE International Symposium on Parallel and Distributed Processing, 2010

Understanding how off-chip memory bandwidth partitioning in Chip Multiprocessors affects system performance.
Proceedings of the 16th International Conference on High-Performance Computer Architecture (HPCA-16 2010), 2010

CHOP: Adaptive filter-based DRAM caching for CMP server platforms.
Proceedings of the 16th International Conference on High-Performance Computer Architecture (HPCA-16 2010), 2010

2009
Prefetching with Helper Threads for Loosely Coupled Multiprocessor Systems.
IEEE Trans. Parallel Distributed Syst., 2009

MemTracker: An accelerator for memory debugging and monitoring.
ACM Trans. Archit. Code Optim., 2009

Making secure processors OS- and performance-friendly.
ACM Trans. Archit. Code Optim., 2009

Memory management thread for heap allocation intensive sequential applications.
Proceedings of the 10th workshop on MEmory performance, 2009

Scaling the bandwidth wall: challenges in and avenues for CMP scaling.
Proceedings of the 36th International Symposium on Computer Architecture (ISCA 2009), 2009

SHIELDSTRAP: Making secure processors truly secure.
Proceedings of the 27th International Conference on Computer Design, 2009

Architecture Support for Improving Bulk Memory Copying and Initialization Performance.
Proceedings of the PACT 2009, 2009

2008
Counter-Based Cache Replacement and Bypassing Algorithms.
IEEE Trans. Computers, 2008

FlexiTaint: A programmable accelerator for dynamic taint propagation.
Proceedings of the 14th International Conference on High-Performance Computer Architecture (HPCA-14 2008), 2008

Single-level integrity and confidentiality protection for distributed shared memory multiprocessors.
Proceedings of the 14th International Conference on High-Performance Computer Architecture (HPCA-14 2008), 2008

Characterizing and modeling the behavior of context switch misses.
Proceedings of the 17th International Conference on Parallel Architectures and Compilation Techniques, 2008

2007
From chaos to QoS: case studies in CMP resource management.
SIGARCH Comput. Archit. News, 2007

Animations of important concepts in parallel computer architecture.
Proceedings of the 2007 Workshop on Computer Architecture Education, 2007

QoS policies and architecture for cache/memory in CMP platforms.
Proceedings of the 2007 ACM SIGMETRICS International Conference on Measurement and Modeling of Computer Systems, 2007

Using Address Independent Seed Encryption and Bonsai Merkle Trees to Make Secure Processors OS- and Performance-Friendly.
Proceedings of the 40th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO-40 2007), 2007

A Framework for Providing Quality of Service in Chip Multi-Processors.
Proceedings of the 40th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO-40 2007), 2007

Accelerating Full-System Simulation through Characterizing and Predicting Operating System Performance.
Proceedings of the 2007 IEEE International Symposium on Performance Analysis of Systems and Software, 2007

Supporting Quality of Service in High-Performance Servers.
Proceedings of the 21th International Parallel and Distributed Processing Symposium (IPDPS 2007), 2007

MemTracker: Efficient and Programmable Support for Memory Access Monitoring and Debugging.
Proceedings of the 13st International Conference on High-Performance Computer Architecture (HPCA-13 2007), 2007

2006
HeapMon: A helper-thread approach to programmable, automatic, and low-overhead memory bug detection.
IBM J. Res. Dev., 2006

An analytical model for cache replacement policy performance.
Proceedings of the Joint International Conference on Measurement and Modeling of Computer Systems, 2006

Improving Cost, Performance, and Security of Memory Encryption and Authentication.
Proceedings of the 33rd International Symposium on Computer Architecture (ISCA 2006), 2006

Helper thread prefetching for loosely-coupled multiprocessor systems.
Proceedings of the 20th International Parallel and Distributed Processing Symposium (IPDPS 2006), 2006

Comprehensively and efficiently protecting the heap.
Proceedings of the 12th International Conference on Architectural Support for Programming Languages and Operating Systems, 2006

Efficient data protection for distributed shared memory multiprocessors.
Proceedings of the 15th International Conference on Parallel Architectures and Compilation Techniques (PACT 2006), 2006

2005
Eliminating Conflict Misses Using Prime Number-Based Cache Indexing.
IEEE Trans. Computers, 2005

Memory predecryption: hiding the latency overhead of memory encryption.
SIGARCH Comput. Archit. News, 2005

Predicting Cache Space Contention in Utility Computing Servers.
Proceedings of the 19th International Parallel and Distributed Processing Symposium (IPDPS 2005), 2005

Counter-Based Cache Replacement Algorithms.
Proceedings of the 23rd International Conference on Computer Design (ICCD 2005), 2005

Predicting Inter-Thread Cache Contention on a Chip Multi-Processor Architecture.
Proceedings of the 11th International Conference on High-Performance Computer Architecture (HPCA-11 2005), 2005

2004
Using Prime Numbers for Cache Indexing to Eliminate Conflict Misses.
Proceedings of the 10th International Conference on High-Performance Computer Architecture (HPCA-10 2004), 2004

Fair Cache Sharing and Partitioning in a Chip Multiprocessor Architecture.
Proceedings of the 13th International Conference on Parallel Architectures and Compilation Techniques (PACT 2004), 29 September, 2004

2003
Correlation Prefetching with a User-Level Memory Thread.
IEEE Trans. Parallel Distributed Syst., 2003

2002
Improving Memory Performance Using Intelligent Memory
PhD thesis, 2002

Using a User-Level Memory Thread for Correlation Prefetching.
Proceedings of the 29th International Symposium on Computer Architecture (ISCA 2002), 2002

2001
Automatic Code Mapping on an Intelligent Memory Architecture.
IEEE Trans. Computers, 2001

Mutable Functional Units and Their Applications on Microprocessors.
Proceedings of the 19th International Conference on Computer Design (ICCD 2001), 2001

Automatically Mapping Code on an Intelligent Memory Architecture.
Proceedings of the Seventh International Symposium on High-Performance Computer Architecture (HPCA'01), 2001

Mutable Functional Units: Initial Results.
Proceedings of the 9th Annual IEEE Symposium on Field-Programmable Custom Computing Machines, 2001

2000
Adaptively Mapping Code in an Intelligent Memory Architecture.
Proceedings of the Intelligent Memory Systems, Second International Workshop, 2000

1999
Integral Ratio: A New Class of Global Thresholding Techniques for Handwriting Images.
IEEE Trans. Pattern Anal. Mach. Intell., 1999

Scal-Tool: Pinpointing and Quantifying Scalability Bottlenecks in DSM Multiprocessors.
Proceedings of the ACM/IEEE Conference on Supercomputing, 1999

1997
Mathematical properties of the native integral ratio handwriting and text extraction technique.
Proceedings of the 4th International Conference Document Analysis and Recognition (ICDAR '97), 1997


  Loading...