Mohammad M. Mansour

Orcid: 0000-0002-8316-1330

According to our database1, Mohammad M. Mansour authored at least 117 papers between 1998 and 2023.

Collaborative distances:
  • Dijkstra number2 of four.
  • Erdős number3 of four.

Timeline

Legend:

Book 
In proceedings 
Article 
PhD thesis 
Dataset
Other 

Links

Online presence:

On csauthors.net:

Bibliography

2023
RIS-Aided mmWave MIMO Channel Estimation Using Deep Learning and Compressive Sensing.
IEEE Trans. Wirel. Commun., May, 2023

Machine Learning-Based Unobtrusive Intake Gesture Detection via Wearable Inertial Sensors.
IEEE Trans. Biomed. Eng., April, 2023

IMU Hand Calibration for Low-Cost MEMS Inertial Sensors.
IEEE Trans. Instrum. Meas., 2023

Site-Specific Beam Codebook Design for Distributed RIS Networks Using Deep Reinforcement Learning.
Proceedings of the IEEE Globecom Workshops 2023, 2023

Deep Reinforcement Learning Based Beamforming Codebook Design for RIS-aided mmWave Systems.
Proceedings of the 20th IEEE Consumer Communications & Networking Conference, 2023

2022
Efficient Attitude Estimators: A Tutorial and Survey.
J. Signal Process. Syst., 2022

Deep Learning-Based Frequency-Selective Channel Estimation for Hybrid mmWave MIMO Systems.
IEEE Trans. Wirel. Commun., 2022

Deep-Learning Based Channel Estimation for RIS-Aided mmWave Systems with Beam Squint.
Proceedings of the IEEE International Conference on Communications, 2022

2021
Fast-Converging and Low-Power LDPC Decoding: Algorithm, Architecture, and VLSI Implementation.
J. Signal Process. Syst., 2021

Low-Complexity Soft-Output MIMO Detectors Based on Optimal Channel Puncturing.
IEEE Trans. Wirel. Commun., 2021

Fast Column Message-Passing Decoding of Low-Density Parity-Check Codes.
IEEE Trans. Circuits Syst. II Express Briefs, 2021

Terahertz-Band MIMO-NOMA: Adaptive Superposition Coding and Subspace Detection.
IEEE Open J. Commun. Soc., 2021

2020
Physical layer security schemes for MIMO systems: an overview.
Wirel. Networks, 2020

Efficient Angle-Domain Processing for FDD-Based Cell-Free Massive MIMO Systems.
IEEE Trans. Commun., 2020

An Optimized VLSI Implementation of an IEEE 802.11n/ac/ax LDPC Decoder.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2020

Optimal Augmented-Channel Puncturing for Low-Complexity Soft-Output MIMO Detectors.
Proceedings of the 2020 IEEE International Conference on Communications, 2020

Multiplication-Free Detection Algorithm of the Primary Synchronization Signal in LTE.
Proceedings of the 28th European Signal Processing Conference, 2020

A Lightweight Reconfigurable RRAM-based PUF for Highly Secure Applications.
Proceedings of the IEEE International Symposium on Defect and Fault Tolerance in VLSI and Nanotechnology Systems, 2020

2019
A survey on OFDM physical layer security.
Phys. Commun., 2019

Efficient and secure cipher scheme for multimedia contents.
Multim. Tools Appl., 2019

Lightweight, dynamic and efficient image encryption scheme.
Multim. Tools Appl., 2019

A Physical Encryption Scheme for Low-Power Wireless M2M Devices: a Dynamic Key Approach.
Mob. Networks Appl., 2019

An Efficient OFDM-Based Encryption Scheme Using a Dynamic Key Approach.
IEEE Internet Things J., 2019

Efficient Chaotic Encryption Scheme with OFB Mode.
Int. J. Bifurc. Chaos, 2019

Design and realization of efficient & secure multi-homed systems based on random linear network coding.
Comput. Networks, 2019

RRAM Device Models: A Comparative Analysis With Experimental Validation.
IEEE Access, 2019

Angle-Based Multipath Estimation and Beamforming for FDD Cell-free Massive MIMO.
Proceedings of the 20th IEEE International Workshop on Signal Processing Advances in Wireless Communications, 2019

2018
High Order Multi-User MIMO Subspace Detection.
J. Signal Process. Syst., 2018

Large MIMO Detection Schemes Based on Channel Puncturing: Performance and Complexity Analysis.
IEEE Trans. Commun., 2018

Power Control and Channel Allocation for D2D Underlaid Cellular Networks.
IEEE Trans. Commun., 2018

A new efficient lightweight and secure image cipher scheme.
Multim. Tools Appl., 2018

A dynamic approach for a lightweight and secure cipher for medical images.
Multim. Tools Appl., 2018

One round cipher algorithm for multimedia IoT devices.
Multim. Tools Appl., 2018

A lightweight write-assist scheme for reduced RRAM variability and power.
Microelectron. Reliab., 2018

When Quantized Massive MIMO Meets Large MIMO With Higher Order Modulation.
IEEE Commun. Lett., 2018

A Loop-Based Methodology for Reducing Computational Redundancy in Workload Sets.
IEEE Access, 2018

A fairness-based congestion control algorithm for multipath TCP.
Proceedings of the 2018 IEEE Wireless Communications and Networking Conference, 2018

Joint channel allocation and power control for D2D communications using stochastic geometry.
Proceedings of the 2018 IEEE Wireless Communications and Networking Conference, 2018

MMSE Detection for 1-Bit Quantized Massive MIMO with Imperfect Channel Estimation.
Proceedings of the 19th IEEE International Workshop on Signal Processing Advances in Wireless Communications, 2018

S-DES: An efficient & secure DES variant.
Proceedings of the IEEE Middle East and North Africa Communications Conference, 2018

Efficient and Secure Physical Encryption Scheme for Low-Power Wireless M2M Devices.
Proceedings of the 14th International Wireless Communications & Mobile Computing Conference, 2018

Channel-Punctured Large MIMO Detection.
Proceedings of the 2018 IEEE International Symposium on Information Theory, 2018

Low power GDI ALU design with mixed logic adder functionality.
Proceedings of the 2018 International Conference on IC Design & Technology, 2018

Memristor models optimization for large-scale 1T1R memory arrays.
Proceedings of the 2018 International Conference on IC Design & Technology, 2018

2017
Modulation Classification via Subspace Detection in MIMO Systems.
IEEE Commun. Lett., 2017

A Distance-Based Power Control Scheme for D2D Communications Using Stochastic Geometry.
Proceedings of the 86th IEEE Vehicular Technology Conference, 2017

Hard-output chase detectors for large MIMO: BER performance and complexity analysis.
Proceedings of the 28th IEEE Annual International Symposium on Personal, 2017

A Multi-Gbps Fully Pipelined Layered Decoder for IEEE 802.11n/ac/ax LDPC Codes.
Proceedings of the 2017 IEEE Computer Society Annual Symposium on VLSI, 2017

Oxide-based RRAM models for circuit designers: A comparative analysis.
Proceedings of the 12th International Conference on Design & Technology of Integrated Systems In Nanoscale Era, 2017

2016
A Low-Complexity Detection Algorithm for the Primary Synchronization Signal in LTE.
IEEE Trans. Veh. Technol., 2016

Inter-Frame Coding For Broadcast Communication.
IEEE J. Sel. Areas Commun., 2016

Max-Log-MAP Optimal MU-MIMO Receiver for Joint Data Detection and Interferer Modulation Classification.
IEEE Commun. Lett., 2016

Comments on "A Square-Root-Free Matrix Decomposition Method for Energy-Efficient Least Square Computation on Embedded Systems".
IEEE Embed. Syst. Lett., 2016

Low-complexity joint modulation classification and detection in MU-MIMO.
Proceedings of the IEEE Wireless Communications and Networking Conference, 2016

Efficient near-optimal 8×8 MIMO detector.
Proceedings of the IEEE Wireless Communications and Networking Conference, 2016

Enhanced low-complexity layer-ordering for MIMO sphere detectors.
Proceedings of the 2016 IEEE International Conference on Communications, 2016

Efficient near optimal joint modulation classification and detection for MU-MIMO systems.
Proceedings of the 2016 IEEE International Conference on Acoustics, 2016

Efficient subspace detection for high-order MIMO systems.
Proceedings of the 2016 IEEE International Conference on Acoustics, 2016

Interlaced Column-Row Message-Passing Schedule for Decoding LDPC Codes.
Proceedings of the 2016 IEEE Global Communications Conference, 2016

2015
Optimized Configurable Architectures for Scalable Soft-Input Soft-Output MIMO Detectors With 256-QAM.
IEEE Trans. Signal Process., 2015

A Near-ML MIMO Subspace Detection Algorithm.
IEEE Signal Process. Lett., 2015

Soft-Output MIMO Detectors with Channel Estimation Error.
IEEE Signal Process. Lett., 2015

A low-complexity MIMO subspace detection algorithm.
EURASIP J. Wirel. Commun. Netw., 2015

Multi-User MIMO Receivers With Partial State Information.
CoRR, 2015

PAPR reduction in LTE-Advanced carrier aggregation using low-complexity joint interleaving technique.
Proceedings of the 2015 IEEE Wireless Communications and Networking Conference, 2015

Algorithmic Optimizations in the HMAX Model Targeted for Efficient Object Recognition.
Proceedings of the Computer Vision, Imaging and Computer Graphics Theory and Applications, 2015

Efficient Implementation of a Recognition System using the Cortex Ventral Stream Model.
Proceedings of the VISAPP 2015, 2015

Designing low-VTh STT-RAM for write energy reduction in scaled technologies.
Proceedings of the Sixteenth International Symposium on Quality Electronic Design, 2015

A Low-Complexity PAPR Reduction Technique for LTE-Advanced Uplink with Carrier Aggregation.
Proceedings of the 2015 IEEE Global Communications Conference, 2015

Low-complexity MIMO detector with 1024-QAM.
Proceedings of the 2015 IEEE Global Conference on Signal and Information Processing, 2015

Likelihood-based modulation classification for MU-MIMO systems.
Proceedings of the 2015 IEEE Global Conference on Signal and Information Processing, 2015

2014
Reduced Complexity Soft-Output MIMO Sphere Detectors - Part II: Architectural Optimizations.
IEEE Trans. Signal Process., 2014

Reduced Complexity Soft-Output MIMO Sphere Detectors - Part I: Algorithmic Optimizations.
IEEE Trans. Signal Process., 2014

Comments on "Soft Decision Metric Generation for QAM With Channel Estimation Error".
IEEE Trans. Commun., 2014

An energy-aware design methodology based on kernel optimisations.
Int. J. Auton. Adapt. Commun. Syst., 2014

Hardware-Oriented Construction of a Family of Rate-Compatible Raptor Codes.
IEEE Commun. Lett., 2014

2013
Editorial.
J. Signal Process. Syst., 2013

A Fast Recursive Algorithm and Architecture for Pruned Bit-reversal Interleavers.
J. Signal Process. Syst., 2013

A Hardware-Efficient Algorithm for Real-Time Computation of Zadoff-Chu Sequences.
J. Signal Process. Syst., 2013

Evaluation of Low-Power Computing when Operating on Subsets of Multicore Processors.
J. Signal Process. Syst., 2013

Pruned Bit-Reversal Permutations: Mathematical Characterization, Fast Algorithms and Architectures.
IEEE Trans. Signal Process., 2013

Fast Pruned Interleaving.
IEEE Trans. Commun., 2013

Autonomic tool for optimal cache-sharing using evolutionary techniques.
Proceedings of the 4th Annual International Conference on Energy Aware Computing Systems and Applications, 2013

On the contention-free and spread characteristics of serially-pruned interleavers.
Proceedings of the IEEE International Conference on Acoustics, 2013

2012
A Reconfigurable TDMP Decoder for Raptor Codes.
J. Signal Process. Syst., 2012

A recursive algorithm for pruned bit-reversal permutations.
Proceedings of the 2012 IEEE International Conference on Acoustics, 2012

2011
Construction and Hardware-Efficient Decoding of Raptor Codes.
IEEE Trans. Signal Process., 2011

Trends in Design and Implementation of Signal Processing Systems [In the Spotlight].
IEEE Signal Process. Mag., 2011

Determining the minimum energy operating point for embedded SRAM memory.
Proceedings of the IEEE Workshop on Signal Processing Systems, 2011

Low-power adder design techniques for noise-tolerant applications.
Proceedings of the IEEE Workshop on Signal Processing Systems, 2011

A design methodology for energy aware neural networks.
Proceedings of the 7th International Wireless Communications and Mobile Computing Conference, 2011

A novel technique to measure data retention voltage of large SRAM arrays.
Proceedings of the International Symposium on Circuits and Systems (ISCAS 2011), 2011

Reconfigurable decoder architectures for Raptor codes.
Proceedings of the IEEE International Conference on Acoustics, 2011

2009
A Parallel Pruned Bit-Reversal Interleaver.
IEEE Trans. Very Large Scale Integr. Syst., 2009

Parallel lookahead algorithms for pruned interleavers.
IEEE Trans. Commun., 2009

A parallel architecture for 3GPP2/UMB turbo interleavers.
Proceedings of the IEEE International Conference on Acoustics, 2009

Optimized Architecture for Computing Zadoff-Chu Sequences with Application to LTE.
Proceedings of the Global Communications Conference, 2009. GLOBECOM 2009, Honolulu, Hawaii, USA, 30 November, 2009

2008
Parallel channel interleavers for 3GPP2/UMB.
Proceedings of the IEEE Workshop on Signal Processing Systems, 2008

2006
A Turbo-Decoding Message-Passing Algorithm for Sparse Parity-Check Matrix Codes.
IEEE Trans. Signal Process., 2006

A 640-Mb/s 2048-bit programmable LDPC decoder chip.
IEEE J. Solid State Circuits, 2006

2005
A Novel Design Methodology for High-Performance Programmable Decoder Cores for AA-LDPC Codes.
J. VLSI Signal Process., 2005

2004
High-performance decoders for regular and irregular repeat-accumulate codes.
Proceedings of the Global Telecommunications Conference, 2004. GLOBECOM '04, Dallas, Texas, USA, 29 November, 2004

Analysis of MOS cross-coupled <i>LC</i>-tank oscillators using short-channel device equations.
Proceedings of the 2004 Conference on Asia South Pacific Design Automation: Electronic Design and Solution Fair 2004, 2004

2003
VLSI Architectures for Iterative Channel Decoders
PhD thesis, 2003

High-throughput LDPC decoders.
IEEE Trans. Very Large Scale Integr. Syst., 2003

VLSI architectures for SISO-APP decoders.
IEEE Trans. Very Large Scale Integr. Syst., 2003

Modified Sakurai-Newton Current Model and its Applications to CMOS Digital Circuit Design.
Proceedings of the 2003 IEEE Computer Society Annual Symposium on VLSI (ISVLSI 2003), 2003

Parameterized Macrocells with Accurate Delay Models for Core-Based Designs.
Proceedings of the 4th International Symposium on Quality of Electronic Design (ISQED 2003), 2003

Architecture-aware low-density parity-check codes.
Proceedings of the 2003 International Symposium on Circuits and Systems, 2003

Efficient core designs based on parameterized macrocells with accurate delay models.
Proceedings of the 2003 International Symposium on Circuits and Systems, 2003

2002
A cloning approach to classifier training.
IEEE Trans. Syst. Man Cybern. Part A, 2002

Low-power VLSI decoder architectures for LDPC codes.
Proceedings of the 2002 International Symposium on Low Power Electronics and Design, 2002

Simplified current and delay models for deep submicron CMOS digital circuits.
Proceedings of the 2002 International Symposium on Circuits and Systems, 2002

Design methodology for high-speed iterative decoder architectures.
Proceedings of the IEEE International Conference on Acoustics, 2002

Turbo decoder architectures for low-density parity-check codes.
Proceedings of the Global Telecommunications Conference, 2002

2000
Instruction scheduling for low power on dynamically variable voltage processors.
Proceedings of the 2000 7th IEEE International Conference on Electronics, 2000

1998
FPGA-based Internet Protocol Version 6 router.
Proceedings of the International Conference on Computer Design: VLSI in Computers and Processors, 1998


  Loading...