Magdy A. Bayoumi

Orcid: 0000-0002-0630-5273

Affiliations:
  • University of Louisiana at Lafayette, Center for Advanced Computer Studies (CACS), LA, USA
  • University of Windsor, ON, Canada (PhD)


According to our database1, Magdy A. Bayoumi authored at least 463 papers between 1983 and 2024.

Collaborative distances:
  • Dijkstra number2 of four.
  • Erdős number3 of four.

Awards

IEEE Fellow

IEEE Fellow 1999, "For contributions to application specific digital signal processing architectures and computer arithmetic.".

Timeline

Legend:

Book 
In proceedings 
Article 
PhD thesis 
Dataset
Other 

Links

Online presence:

On csauthors.net:

Bibliography

2024
Big Data and Deep Learning in Smart Cities: A Comprehensive Dataset for AI-Driven Traffic Accident Detection and Computer Vision Systems.
CoRR, 2024

Optimizing QoE in IoT-Based Video Streaming through Deep Learning Algorithms.
Proceedings of the International Conference on Artificial Intelligence in Information and Communication , 2024

2023
MMST-ViT: Climate Change-aware Crop Yield Prediction via Multi-Modal Spatial-Temporal Vision Transformer.
CoRR, 2023

A Survey on Hardware Security: Current Trends and Challenges.
IEEE Access, 2023

Hardware Security in the Internet of Things: A Survey.
Proceedings of the 36th IEEE International System-on-Chip Conference, 2023

Deep Learning-Enabled Efficient Storage and Retrieval of Video Streams in the Cloud.
Proceedings of the 8th IEEE International Conference on Smart Cloud, 2023

Deep Learning Approach for Cost and Storage Optimization of Video Streaming in Cloud Environments.
Proceedings of the 8th IEEE International Conference on Smart Cloud, 2023

Predictive Storage Management for Cloud-Based Video Streaming Using ML ARIMA Model.
Proceedings of the 66th IEEE International Midwest Symposium on Circuits and Systems, 2023

Deep Learning-Driven Video Summarization on the Cloud: A Pathway to Efficient Storage and Quick Access.
Proceedings of the 16th IEEE International Symposium on Embedded Multicore/Many-core Systems-on-Chip, 2023

Low-Cost Hardware Design Approach for Long Short-Term Memory (LSTM).
Proceedings of the IEEE International Symposium on Circuits and Systems, 2023

Security Scalability of Arbiter PUF Designs.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2023

Aloe Vera Tissue Modeling and Parameter Identification Using Meta-heuristic Optimization Algorithm.
Proceedings of the International Conference on Microelectronics, 2023

MMST-ViT: Climate Change-aware Crop Yield Prediction via Multi-Modal Spatial-Temporal Vision Transformer.
Proceedings of the IEEE/CVF International Conference on Computer Vision, 2023

Enhancing Cloud-Based Video Streaming Efficiency using Neural Networks.
Proceedings of the IEEE International Conference on Omni-layer Intelligent Systems, 2023

IoT Botnet Detection Using an Economic Deep Learning Model.
Proceedings of the 2023 IEEE World AI IoT Congress (AIIoT), 2023

Low-Power Convolutional Neural Network Accelerator on FPGA.
Proceedings of the 5th IEEE International Conference on Artificial Intelligence Circuits and Systems, 2023

Edge Computing for Efficient Storage and Low-Latency Video Streaming in Cloud Environments.
Proceedings of the IEEE International Conference on Artificial Intelligence, 2023

Adaptive Video Streaming: An AI-Driven Approach Leveraging Cloud and Edge Computing.
Proceedings of the IEEE International Conference on Artificial Intelligence, 2023

2022
Designing Novel AAD Pooling in Hardware for a Convolutional Neural Network Accelerator.
IEEE Trans. Very Large Scale Integr. Syst., 2022

Reconfigurable Hardware Design Approach for Economic Neural Network.
IEEE Trans. Circuits Syst. II Express Briefs, 2022

Cost Minimization of Cloud Services for On-Demand Video Streaming.
SN Comput. Sci., 2022

A survey on security in internet of things with a focus on the impact of emerging technologies.
Internet Things, 2022

Deep Learning based Defect classification and detection in SEM images: A Mask R-CNN approach.
CoRR, 2022

Zydeco-Style Spike Sorting Low Power VLSI Architecture for IoT BCI Implants.
CoRR, 2022

Review on Action Recognition for Accident Detection in Smart City Transportation Systems.
CoRR, 2022

Deep Learning-Based Defect Classification and Detection in SEM Images.
CoRR, 2022

Speech Emotion Recognition using Supervised Deep Recurrent System for Mental Health Monitoring.
Proceedings of the 8th IEEE World Forum on Internet of Things, 2022

Autonomous Low Power IoT System Architecture for Cybersecurity Monitoring.
Proceedings of the 8th IEEE World Forum on Internet of Things, 2022

Adaptive Hardware Architecture for Neural-Network-on-Chip.
Proceedings of the 65th IEEE International Midwest Symposium on Circuits and Systems, 2022

Stochastic Selection of Responses for Physically Unclonable Functions.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2022

A Resource-Saving Energy-Efficient Reconfigurable Hardware Accelerator for BERT-based Deep Neural Network Language Models using FFT Multiplication.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2022

Shadow PUFs: Generating Temporal PUFs with Properties Isomorphic to Delay-Based APUFs.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2022

XFeed PUF: A Secure and Efficient Delay-based Strong PUF Using Cross-Feed Connections.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2022

On Securing MAC Layer Broadcast Signals Against Covert Channel Exploitation in 5G, 6G & Beyond.
Proceedings of the 2022 IEEE Future Networks World Forum, 2022

2021
Energy-efficient task-resource co-allocation and heterogeneous multi-core NoC design in dark silicon era.
Microprocess. Microsystems, October, 2021

Self-Healing Router Approach for High-Performance Network-on-Chip.
IEEE Open J. Circuits Syst., 2021

Assessment of a Spatiotemporal Deep Learning Approach for Soil Moisture Prediction and Filling the Gaps in Between Soil Moisture Observations.
Frontiers Artif. Intell., 2021

A Deep Learning Approach for Automatic Seizure Detection in Children With Epilepsy.
Frontiers Comput. Neurosci., 2021

A Lightweight PUF-Based Authentication Protocol Using Secret Pattern Recognition for Constrained IoT Devices.
IEEE Access, 2021

Chapter Four - A survey on cloud-based video streaming services.
Adv. Comput., 2021

Optimized Line-of-Sight Assessment Algorithm for 5G mmW Network Design using LiDAR Information.
Proceedings of the 18th International Conference on Wireless Networks and Mobile Systems, 2021

Green IoT System Architecture for Applied Autonomous Network Cybersecurity Monitoring.
Proceedings of the 7th IEEE World Forum on Internet of Things, 2021

Physical Layer Security for IoT Communications - A Survey.
Proceedings of the 7th IEEE World Forum on Internet of Things, 2021

Intelligent Resource Discovery Approach for The Internet of Things.
Proceedings of the 7th IEEE World Forum on Internet of Things, 2021

Improving Hierarchy Storage for Video Streaming in Cloud.
Proceedings of the 7th IEEE World Forum on Internet of Things, 2021

Convolution Processing Unit Featuring Adaptive Precision using Dynamic Reconfiguration.
Proceedings of the 7th IEEE World Forum on Internet of Things, 2021

A Cost-Efficient Reversible-Based Configurable Ring Oscillator Physical Unclonable Function.
Proceedings of the 34th IEEE International System-on-Chip Conference, 2021

An Efficient Capsule Network Reconfigurable Hardware Accelerator for Deciphering Ancient Scripts with Scarce Annotations.
Proceedings of the 34th IEEE International System-on-Chip Conference, 2021

An Efficient Embryonic Hardware Architecture based on Network-on-Chip.
Proceedings of the 64th IEEE International Midwest Symposium on Circuits and Systems, 2021

A Reversible-Logic based Architecture for Convolutional Neural Network (CNN).
Proceedings of the 64th IEEE International Midwest Symposium on Circuits and Systems, 2021

A Reversible-Logic Based Architecture for Long Short-Term Memory (LSTM) Network.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2021

An Efficient Deep Learning System for Epileptic Seizure Prediction.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2021

An Efficient Reconfigurable Neural Network on Chip.
Proceedings of the 28th IEEE International Conference on Electronics, 2021

A Reversible-Logic based Architecture for VGGNet.
Proceedings of the 28th IEEE International Conference on Electronics, 2021

Mc-PUF: Memory-based and Machine Learning Resilient Strong PUF for Device Authentication in Internet of Things.
Proceedings of the IEEE International Conference on Cyber Security and Resilience, 2021

Generative Adversarial Network Based Semi-supervised Learning for Epileptic Focus Localization.
Proceedings of the IEEE International Conference on Bioinformatics and Biomedicine, 2021

2020
Machine Learning-Based Approach for Hardware Faults Prediction.
IEEE Trans. Circuits Syst., 2020

Intelligent Fault-Prediction Assisted Self-Healing for Embryonic Hardware.
IEEE Trans. Biomed. Circuits Syst., 2020

Deep Learning Approach for Epileptic Focus Localization.
IEEE Trans. Biomed. Circuits Syst., 2020

Resource discovery techniques in the internet of things: A review.
Internet Things, 2020

Cloud-Based Video Streaming Services: A Survey.
CoRR, 2020

Reduced-gate convolutional long short-term memory using predictive coding for spatiotemporal prediction.
Comput. Intell., 2020

Security Aspects of Internet of Things - A Survey.
Proceedings of the 6th IEEE World Forum on Internet of Things, 2020

Comparative Analysis on the Scaling Properties of Arbiter-based PUFs.
Proceedings of the 6th IEEE World Forum on Internet of Things, 2020

A Security Approach for CoAP-based Internet of Things Resource Discovery.
Proceedings of the 6th IEEE World Forum on Internet of Things, 2020

Memory-based Arbiter PUF: A Novel Highly Reliable and Scalable Strong PUF Design.
Proceedings of the 6th IEEE World Forum on Internet of Things, 2020

Dynamically Reconfigurable Deep Learning for Efficient Video Processing in Smart IoT Systems.
Proceedings of the 6th IEEE World Forum on Internet of Things, 2020

Lightweight Polymorphic Encryption for the Data Associated with Constrained Internet of Things Devices.
Proceedings of the 6th IEEE World Forum on Internet of Things, 2020

Cost-Efficient Storage for On-Demand Video Streaming on Cloud.
Proceedings of the 6th IEEE World Forum on Internet of Things, 2020

IoT based Efficient Epileptic Seizure Prediction System Using Deep Learning.
Proceedings of the 6th IEEE World Forum on Internet of Things, 2020

An Empirical Analysis of Generative Adversarial Network Training Times with Varying Batch Sizes.
Proceedings of the 11th IEEE Annual Ubiquitous Computing, 2020

Generative Adversarial Networks in Security: A Survey.
Proceedings of the 11th IEEE Annual Ubiquitous Computing, 2020

Architecture of A Novel Low-Cost Hardware Neural Network.
Proceedings of the 63rd IEEE International Midwest Symposium on Circuits and Systems, 2020

A Reversible-Logic based Architecture for Artificial Neural Network.
Proceedings of the 63rd IEEE International Midwest Symposium on Circuits and Systems, 2020

A Novel Design Reversible Logic Based Configurable Fault-Tolerant Embryonic Hardware.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2020

A Survey on the Progression and Performance of Generative Adversarial Networks.
Proceedings of the 11th International Conference on Computing, 2020

A Convolutional Gated Recurrent Neural Network for Seizure Onset Localization.
Proceedings of the IEEE International Conference on Bioinformatics and Biomedicine, 2020

2019
Performance Analysis and Modeling of Video Transcoding Using Heterogeneous Cloud Services.
IEEE Trans. Parallel Distributed Syst., 2019

Probabilistic Analysis of Power-Gating in Network-on-Chip Routers.
IEEE Trans. Circuits Syst. II Express Briefs, 2019

Economic LSTM Approach for Recurrent Neural Networks.
IEEE Trans. Circuits Syst. II Express Briefs, 2019

Efficient Epileptic Seizure Prediction Based on Deep Learning.
IEEE Trans. Biomed. Circuits Syst., 2019

Semi-Supervised EEG Signals Classification System for Epileptic Seizure Detection.
IEEE Signal Process. Lett., 2019

Self-healing hardware systems: A review.
Microelectron. J., 2019

Early Prediction of Epilepsy Seizures VLSI BCI System.
CoRR, 2019

Cost-Efficient Cloud-Based Video Streaming Through Measuring Hotness.
Comput. J., 2019

VAStream: A Visual Analytics System for Fast Data Streams.
Proceedings of the Practice and Experience in Advanced Research Computing on Rise of the Machines (learning), 2019

A Speed and Energy Focused Framework for Dynamic Hardware Reconfiguration.
Proceedings of the 32nd IEEE International System-on-Chip Conference, 2019

N<sup>2</sup> OC: Neural-Network-on-Chip Architecture.
Proceedings of the 32nd IEEE International System-on-Chip Conference, 2019

Security Taxonomy in IoT - A Survey.
Proceedings of the 62nd IEEE International Midwest Symposium on Circuits and Systems, 2019

A Novel Reconfigurable Hardware Architecture of Neural Network.
Proceedings of the 62nd IEEE International Midwest Symposium on Circuits and Systems, 2019

Self-Healing Approach for Hardware Neural Network Architecture.
Proceedings of the 62nd IEEE International Midwest Symposium on Circuits and Systems, 2019

A Novel Design Gate based Low-Cost Configurable RO PUF using Reversible Logic.
Proceedings of the 62nd IEEE International Midwest Symposium on Circuits and Systems, 2019

Gated Recurrent Neural Networks Empirical Utilization for Time Series Classification.
Proceedings of the 2019 International Conference on Internet of Things (iThings) and IEEE Green Computing and Communications (GreenCom) and IEEE Cyber, 2019

Demystifying Emerging Nonvolatile Memory Technologies: Understanding Advantages, Challenges, Trends, and Novel Applications.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2019

Reduced-Gate Convolutional LSTM Architecture for Next-Frame Video Prediction Using Predictive Coding.
Proceedings of the International Joint Conference on Neural Networks, 2019

An Analysis of Univariate and Multivariate Electrocardiography Signal Classification.
Proceedings of the 18th IEEE International Conference On Machine Learning And Applications, 2019

Unsupervised Ranking of Numerical Observations based on Magnetic Properties and Correlation Coefficient.
Proceedings of the 52nd Hawaii International Conference on System Sciences, 2019

Lightweight Cryptography for Internet of Insecure Things: A Survey.
Proceedings of the IEEE 9th Annual Computing and Communication Workshop and Conference, 2019

Semi-supervised Learning for Epileptic Focus Localization Using Deep Convolutional Autoencoder.
Proceedings of the 2019 IEEE Biomedical Circuits and Systems Conference, 2019

2018
Cost-Efficient and Robust On-Demand Video Transcoding Using Heterogeneous Cloud Services.
IEEE Trans. Parallel Distributed Syst., 2018

Emerging Technologies: IoT, Big Data, and CPS with Sensory Systems.
J. Sensors, 2018

Deep Gated Recurrent and Convolutional Network Hybrid Model for Univariate Time Series Classification.
CoRR, 2018

Reduced-Gate Convolutional LSTM Using Predictive Coding for Spatiotemporal Prediction.
CoRR, 2018

Hotspot-aware task-resource co-allocation for heterogeneous many-core networks-on-chip.
Comput. Electr. Eng., 2018

Power- Thermal Aware Balanced Task-Resource Co-Allocation in Heterogeneous Many CPU-GPU Cores NoC in Dark Silicon Era.
Proceedings of the 31st IEEE International System-on-Chip Conference, 2018

Flexible Self-Healing Router for Reliable and High-Performance Network-an-Chips Architecture.
Proceedings of the 31st IEEE International System-on-Chip Conference, 2018

Epileptic Seizure Detection using Deep Convolutional Autoencoder.
Proceedings of the 2018 IEEE International Workshop on Signal Processing Systems, 2018

Modeling and performance simulation of PULSE and MCMAC protocols in RFID-based IoT network using OMNeT++.
Proceedings of the 2018 IEEE International Conference on RFID, 2018

Deep Convolutional Bidirectional LSTM Recurrent Neural Network for Epileptic Seizure Detection.
Proceedings of the 16th IEEE International New Circuits and Systems Conference, 2018

A hardware obfuscation technique for manufacturing a secure 3D IC.
Proceedings of the IEEE 61st International Midwest Symposium on Circuits and Systems, 2018

FPGA Implementation of High Accuracy Automatic Epileptic Seizure Detection System.
Proceedings of the IEEE 61st International Midwest Symposium on Circuits and Systems, 2018

A Perceptron-Inspired Technique for Hardware Obfuscation.
Proceedings of the 19th International Workshop on Microprocessor and SOC Test and Verification, 2018

Neuro-NoC: Energy Optimization in Heterogeneous Many-Core NoC using Neural Networks in Dark Silicon Era.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2018

A Cost-Effective Self-Healing Approach for Reliable Hardware Systems.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2018

Empirical Activation Function Effects on Unsupervised Convolutional LSTM Learning.
Proceedings of the IEEE 30th International Conference on Tools with Artificial Intelligence, 2018

Semi-Supervised Deep Learning System for Epileptic Seizures Onset Prediction.
Proceedings of the 17th IEEE International Conference on Machine Learning and Applications, 2018

An Efficient Approach for Neural Network Architecture.
Proceedings of the 25th IEEE International Conference on Electronics, Circuits and Systems, 2018

Spectrum-Awareness-Based Performance and Scalability of Cognitive Radio Networks.
Proceedings of the 2018 IEEE International Conference on Communications Workshops, 2018

A Low Power Hardware Implementation of Multi-Object DPM Detector for Autonomous Driving.
Proceedings of the 2018 IEEE International Conference on Acoustics, 2018

A Comparative Analysis on Resource Discovery Protocols for The Internet of Things.
Proceedings of the IEEE Global Communications Conference, 2018

Deep Learning based Reliable Early Epileptic Seizure Predictor.
Proceedings of the 2018 IEEE Biomedical Circuits and Systems Conference, 2018

2017
It was Really Lagniappe!: Highlights from ICASSP 2017 in New Orleans [Conference Highlights].
IEEE Signal Process. Mag., 2017

Redundant Bit Security in RFIDs: Architecture Design and Security Performance Evaluation.
J. Circuits Syst. Comput., 2017

Framework for generating and designing spectrum awareness modules for opportunistic networking.
Proceedings of the 8th IEEE Annual Ubiquitous Computing, 2017

Optimizing the heterogeneous network on-chip design in manycore architectures.
Proceedings of the 30th IEEE International System-on-Chip Conference, 2017

Router-level performance driven dynamic management in hierarchical networks-on-chip.
Proceedings of the 30th IEEE International System-on-Chip Conference, 2017

A highly reliable dual-arbiter PUF for lightweight authentication protocols.
Proceedings of the IEEE International Conference on RFID Technology & Application, 2017

Lightweight highly secure PUF protocol for mutual authentication and secret message exchange.
Proceedings of the IEEE International Conference on RFID Technology & Application, 2017

BCI/AIS low power adaptive architecture for early prediction of epilepsy seizures.
Proceedings of the 15th IEEE International New Circuits and Systems Conference, 2017

A novel approach towards less area overhead self-healing hardware systems.
Proceedings of the IEEE 60th International Midwest Symposium on Circuits and Systems, 2017

A Security-Aware Pre-partitioning Technique for 3D Integrated Circuits.
Proceedings of the 18th International Workshop on Microprocessor and SOC Test and Verification, 2017

Cost Efficient Repository Management for Cloud-Based On-demand Video Streaming.
Proceedings of the 5th IEEE International Conference on Mobile Cloud Computing, 2017

Efficient Reconfigurable Global Network-on-Chip Designs towards Heterogeneous CPU-GPU Systems: An Application-Aware Approach.
Proceedings of the 2017 IEEE Computer Society Annual Symposium on VLSI, 2017

Fast deep pyramid DPM object detection with region proposal networks.
Proceedings of the 2017 IEEE International Symposium on Signal Processing and Information Technology, 2017

Real-time streaming challenges in Internet of Video Things (IoVT).
Proceedings of the IEEE International Symposium on Circuits and Systems, 2017

Dark silicon-power-thermal aware runtime mapping and configuration in heterogeneous many-core NoC.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2017

Fault tolerant techniques for TSV-based interconnects in 3-D ICs.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2017

Self-healing router architecture for reliable network-on-chips.
Proceedings of the 24th IEEE International Conference on Electronics, Circuits and Systems, 2017

On reconfigurable fabrics for intelligent hardware systems.
Proceedings of the 24th IEEE International Conference on Electronics, Circuits and Systems, 2017

Correlation-based detection of TCM signals for cognitive radios.
Proceedings of the 2017 IEEE International Conference on Acoustics, 2017

Repair techniques for aged TSVs in 3D integrated circuits.
Proceedings of the 2017 IEEE International High Level Design Validation and Test Workshop, 2017

On on-chip intelligence paradigms.
Proceedings of the 30th IEEE Canadian Conference on Electrical and Computer Engineering, 2017

2016
A PUF-based paradigm for IoT security.
Proceedings of the 3rd IEEE World Forum on Internet of Things, 2016

Introducing a Novel Smart Design Framework for a Reconfigurable Multi-Processor Systems-on-Chip (MPSoC) Architecture.
Proceedings of the 2016 IEEE International Conference on Smart Computing, 2016

A Survey on the power and robustness of FinFET SRAM.
Proceedings of the IEEE 59th International Midwest Symposium on Circuits and Systems, 2016

Fast region-based DPM object detection for autonomous vehicles.
Proceedings of the IEEE 59th International Midwest Symposium on Circuits and Systems, 2016

An Energy-Detection-Based Cooperative Spectrum Sensing Scheme for Minimizing the Effects of NPEE and RSPF.
Proceedings of the 19th ACM International Conference on Modeling, 2016

Secure End-to-End key establishment protocol for resource-constrained healthcare sensors in the context of IoT.
Proceedings of the International Conference on High Performance Computing & Simulation, 2016

On sub-Nyquist spectrum sensing for wideband cognitve radios.
Proceedings of the Eighth International Conference on Ubiquitous and Future Networks, 2016

Keynotes and plenary: Keynote #1: "Be Careful: I can read your mind".
Proceedings of the 28th International Conference on Microelectronics, 2016

Towards real-time DPM object detector for driver assistance.
Proceedings of the 2016 IEEE International Conference on Image Processing, 2016

A Novel Authentication and Key Agreement Protocol for Internet of Things Based Resource-Constrained Body Area Sensors.
Proceedings of the 4th IEEE International Conference on Future Internet of Things and Cloud Workshops, 2016

CVSS: A Cost-Efficient and QoS-Aware Video Streaming Using Cloud Services.
Proceedings of the IEEE/ACM 16th International Symposium on Cluster, 2016

High Performance On-demand Video Transcoding Using Cloud Services.
Proceedings of the IEEE/ACM 16th International Symposium on Cluster, 2016

VLSC: Video Live Streaming Using Cloud Services.
Proceedings of the 2016 IEEE International Conferences on Big Data and Cloud Computing (BDCloud), 2016

2015
Performance Evaluation and Design Optimization for Flexible Multiple Instruction Multiple Data Elliptic Curve Cryptography Crypto Architecture.
J. Low Power Electron., 2015

Standardization of cognitive radio networking: a comprehensive survey.
Ann. des Télécommunications, 2015

Low-latency power-efficient adaptive router design for network-on-chip.
Proceedings of the 28th IEEE International System-on-Chip Conference, 2015

Toward fast low power adaptive spike sorting VLSI chip design for wireless BCI implants.
Proceedings of the IEEE 58th International Midwest Symposium on Circuits and Systems, 2015

An overview of IEEE standardization efforts for cognitive radio networks.
Proceedings of the 2015 IEEE International Symposium on Circuits and Systems, 2015

Decentralized clustering in VANET using adaptive resonance theory.
Proceedings of the 2015 IEEE International Conference on Electronics, 2015

Implementable Spike Sorting techniques for VLSI wireless BCI/BMI implants: A survey.
Proceedings of the 5th International Conference on Energy Aware Computing Systems & Applications, 2015

Green energy solution for femtocell power control in massive deployments.
Proceedings of the 5th International Conference on Energy Aware Computing Systems & Applications, 2015

Building and Evaluating COTS Based Optical Interlinks for Nanosatellites.
Proceedings of the 24th International Conference on Computer Communication and Networks, 2015

Adaptive neural matching online spike sorting VLSI chip design for wireless BCI implants.
Proceedings of the 2015 IEEE International Conference on Acoustics, 2015

ASIC implementation of a computationally efficient compressive sensing detection method using least squares optimization in 45 nm CMOS technology.
Proceedings of the 2015 IEEE International Conference on Acoustics, 2015

2014
Video Surveillance for Sensor Platforms - Algorithms and Architectures
Lecture Notes in Electrical Engineering 114, Springer, ISBN: 978-1-4614-1856-6, 2014

Optimal Probabilistic Encryption for Secure Detection in Wireless Sensor Networks.
IEEE Trans. Inf. Forensics Secur., 2014

A Clock gated Successive Approximation Register for a/d Conversions.
J. Circuits Syst. Comput., 2014

Evaluation of Femtocell Technology Challenges and Its Power Control Methodologies for Green Heterogeneous Networks.
Proceedings of the SMARTGREENS 2014, 2014

The challenges towards energy-efficient cognitive radio networking.
Proceedings of the IEEE 12th International New Circuits and Systems Conference, 2014

A cluster-based key management framework for resource constraint networks.
Proceedings of the 15th IEEE International Conference on Information Reuse and Integration, 2014

Investigating the feasibility of LEAP+ in ZigBee specification.
Proceedings of the 15th IEEE International Conference on Information Reuse and Integration, 2014

Energy scavenging and storage using through silicon vias to reduce power consumption in 3D ICs.
Proceedings of the 21st IEEE International Conference on Electronics, Circuits and Systems, 2014

OAPM: Fine-grained operand-aware power management with fast reaction time.
Proceedings of the 21st IEEE International Conference on Electronics, Circuits and Systems, 2014

FinFET based SRAM design: A survey on device, circuit, and technology issues.
Proceedings of the 21st IEEE International Conference on Electronics, Circuits and Systems, 2014

Real-time Parallelized Hybrid Median Filter for speckle removal in ultrasound images.
Proceedings of the 2014 IEEE Global Conference on Signal and Information Processing, 2014

Hybrid wavelet - DCT intra prediction for H.264/AVC interactive encoder.
Proceedings of the IEEE China Summit & International Conference on Signal and Information Processing, 2014

2013
Editorial.
J. Signal Process. Syst., 2013

MIRF: A Multimodal Image Registration and Fusion Module Based on DT-CWT.
J. Signal Process. Syst., 2013

Efficient 45nm ASIC Architecture for Full-Search Free Intra Prediction in Real-Time H.264/AVC Decoder.
J. Signal Process. Syst., 2013

Design, Implementation and Characterization of Practical Distributed Cognitive Radio Networks.
IEEE Trans. Commun., 2013

A 3.1 GB/s, 8 Kb, ZERO PRECHARGE, PIPELINED, HIGHLY STABLE 2-PORT 8T SRAM DESIGN IN 65 nm.
J. Circuits Syst. Comput., 2013

Editorial: Low-Power, Intelligent, and Secure Solutions for Realization of Internet of Things.
IEEE J. Emerg. Sel. Topics Circuits Syst., 2013

Hardware Acceleration of the Gipps Model for Real-Time Traffic Simulation
CoRR, 2013

A comprehensive operand-aware dynamic clock gating scheme for low-power Domino Logic.
Proceedings of the 2013 IEEE International SOC Conference, Erlangen, Germany, 2013

An efficient compressive wideband spectrum sensing architecture for cognitive radios.
Proceedings of the IEEE Workshop on Signal Processing Systems, 2013

Novel clock gating techniques for low power flip-flops and its applications.
Proceedings of the IEEE 56th International Midwest Symposium on Circuits and Systems, 2013

On Through Silicon Vias as used in three dimensional integrated circuits.
Proceedings of the 4th Annual International Conference on Energy Aware Computing Systems and Applications, 2013

A Novel Authenticated Encryption Algorithm for RFID Systems.
Proceedings of the 2013 Euromicro Conference on Digital System Design, 2013

A novel clustering paradigm for key pre-distribution: Toward a better security in homogenous WSNs.
Proceedings of the 10th IEEE Consumer Communications and Networking Conference, 2013

2012
Resource-Aware Data Fusion Algorithms for Wireless Sensor Networks
Lecture Notes in Electrical Engineering 118, Springer, ISBN: 978-1-4614-1349-3, 2012

Opportunistic Spectrum Access: From Theory to Practice.
IEEE Veh. Technol. Mag., 2012

Fast Motion Estimation System Using Dynamic Models for H.264/AVC Video Coding.
IEEE Trans. Circuits Syst. Video Technol., 2012

A Low-Power Parallel Architecture for Finite Galois Field GF(2<sup>m</sup>) Arithmetic Operations for Elliptic Curve Cryptography.
J. Low Power Electron., 2012

High-speed Motion Estimation Architecture for Real-time Video Transmission.
Comput. J., 2012

Hardware architecture for fast Intra mode and direction prediction in real-time MPEG-2 to H.264/AVC transcoder.
Proceedings of the 2012 IEEE International Symposium on a World of Wireless, 2012

Highly stable, dual-port, sub-threshold 7T SRAM cell for ultra-low power application.
Proceedings of the 10th IEEE International NEWCAS Conference, 2012

Secure localization for wireless sensor networks using decentralized dynamic key generation.
Proceedings of the 8th International Wireless Communications and Mobile Computing Conference, 2012

Experimental evaluation of Opportunistic Spectrum Access in distributed cognitive radio networks.
Proceedings of the 8th International Wireless Communications and Mobile Computing Conference, 2012

Structure generation and design of tracking ADCs.
Proceedings of the 2012 IEEE International Symposium on Circuits and Systems, 2012

An ultra-low power current reused CMOS low noise amplifier for x-band space application.
Proceedings of the 19th IEEE International Conference on Electronics, Circuits and Systems, 2012

CEMS-PG: A parametrized algorithm for balanced partitioning and wakeup of power gated circuits.
Proceedings of the 19th IEEE International Conference on Electronics, Circuits and Systems, 2012

A high-throughput ECC architecture.
Proceedings of the 19th IEEE International Conference on Electronics, Circuits and Systems, 2012

An energy-efficient 32-bit RISC processor for sensor platform in 90nm technology.
Proceedings of the International Conference on Energy Aware Computing, 2012

Green arithmetic logic unit.
Proceedings of the International Conference on Energy Aware Computing, 2012

Comparing performance metrics of a parallel ECC architecture vs. input data patterns and granularity.
Proceedings of the International Conference on Energy Aware Computing, 2012

RBS: Redundant Bit Security Algorithm for RFID Systems.
Proceedings of the 21st International Conference on Computer Communications and Networks, 2012

Testbed implementation for Autonomic Network Performance Management of wireless mesh networks.
Proceedings of the Workshops Proceedings of the Global Communications Conference, 2012

2011
An Efficient Adaptive High Speed Manipulation Architecture for Fast Variable Padding Frequency Domain Motion Estimation.
IEEE Trans. Very Large Scale Integr. Syst., 2011

Memory-Efficient Architecture for Hysteresis Thresholding and Object Feature Extraction.
IEEE Trans. Image Process., 2011

Remote Measuring for Sand in Pipelines Using Wireless Sensor Network.
IEEE Trans. Instrum. Meas., 2011

A Bit-Interleaved 2-Port Subthreshold 6T SRAM Array with High Write-Ability and SNM-Free Read in 90 nm.
J. Low Power Electron., 2011

A Flexible Architecture for Finite Field Galois Fields(2<sup><i>m</i></sup>) Arithmetic Processor.
J. Low Power Electron., 2011

Probabilistic framework for opportunistic spectrum management in cognitive ad hoc networks.
EURASIP J. Wirel. Commun. Netw., 2011

Low-Power Distributed Kalman Filter for Wireless Sensor Networks.
EURASIP J. Embed. Syst., 2011

Energy-Aware Distributed QR Decomposition on Wireless Sensor Nodes.
Comput. J., 2011

Rate-adaptive probabilistic spectrum management for cognitive radio networks.
Proceedings of the 12th IEEE International Symposium on a World of Wireless, 2011

De-Cache: A novel caching scheme for large-scale NoC based multiprocessor systems-on-chips.
Proceedings of the IEEE 24th International SoC Conference, SOCC 2011, Taipei, Taiwan, 2011

Energy-efficient XOR gate with embedded level conversion for serial-link encoding.
Proceedings of the IEEE Workshop on Signal Processing Systems, 2011

EVA-MAC: An event-based adaptive medium access control for wireless sensor networks.
Proceedings of the IEEE Workshop on Signal Processing Systems, 2011

Frequency domain: Efficient and high speed technology for video transmission.
Proceedings of the IEEE Workshop on Signal Processing Systems, 2011

High speed intra mode and direction prediction for MPEG-2 to H.264/AVC realtime transcoder.
Proceedings of the IEEE Workshop on Signal Processing Systems, 2011

TALS: Trigonometry-based Ad-hoc Localization System for wireless sensor networks.
Proceedings of the 7th International Wireless Communications and Mobile Computing Conference, 2011

A 90 nm low-power successive approximation register for A/D conversions.
Proceedings of the 12th International Symposium on Quality Electronic Design, 2011

A clock gated flip-flop for low power applications in 90 nm CMOS.
Proceedings of the International Symposium on Circuits and Systems (ISCAS 2011), 2011

P<sup>2</sup>E-DWT: A parallel and pipelined efficient VLSI architecture of 2-D Discrete Wavelet Transform.
Proceedings of the International Symposium on Circuits and Systems (ISCAS 2011), 2011

ETSSI: Energy-based Task Scheduling Simulator for wireless sensor networks.
Proceedings of the International Symposium on Circuits and Systems (ISCAS 2011), 2011

Distributed Kalman Filter using fast polynomial filter.
Proceedings of the International Symposium on Circuits and Systems (ISCAS 2011), 2011

High-performance asic architecture for hysteresis thresholding and component feature extraction in limited-resource applications.
Proceedings of the 18th IEEE International Conference on Image Processing, 2011

2010
PMCNOC: A Pipelining Multi-channel Central Caching Network-on-chip Communication Architecture Design.
J. Signal Process. Syst., 2010

Gaussian pulse approximation using standard CMOS and its application for sub-GHz UWB impulse radio.
Int. J. Circuit Theory Appl., 2010

An efficient area manipulation architecture for frequency domain encoding process.
Proceedings of the International Symposium on Circuits and Systems (ISCAS 2010), May 30, 2010

A compact single-pass architecture for hysteresis thresholding and component labeling.
Proceedings of the International Conference on Image Processing, 2010

A fast discrete transform architecture for Frequency Domain Motion Estimation.
Proceedings of the International Conference on Image Processing, 2010

ASPEN: An Asynchronous Signal Processor for Energy Efficient Sensor Nodes.
Proceedings of the 17th IEEE International Conference on Electronics, 2010

2009
Low-Power Clocked-Pseudo-NMOS Flip-Flop for Level Conversion in Dual Supply Systems.
IEEE Trans. Very Large Scale Integr. Syst., 2009

A Lightweight Collaborative Fault Tolerant Target Localization System for Wireless Sensor Networks.
IEEE Trans. Mob. Comput., 2009

Fast Variable Padding Motion Estimation Using Smart Zero Motion Prejudgment Technique for Pixel and Frequency Domains.
IEEE Trans. Circuits Syst. Video Technol., 2009

The land of pixels hosts ICIP 2009 [Conference Spotlight].
IEEE Signal Process. Mag., 2009

Smart-flooding: A novel scheme for fault-tolerant NoCs.
Proceedings of the Annual IEEE International SoC Conference, SoCC 2009, 2009

EB-MAC: An Event Based Medium Access Control for Wireless Sensor Networks.
Proceedings of the Seventh Annual IEEE International Conference on Pervasive Computing and Communications, 2009

Post-Silicon Clock-nvert (PSCI) for reducing process-variation induced skew in buffered clock networks.
Proceedings of the 10th International Symposium on Quality of Electronic Design (ISQED 2009), 2009

An effective staggered-phase damping technique for suppressing power-gating resonance noise during mode transition.
Proceedings of the 10th International Symposium on Quality of Electronic Design (ISQED 2009), 2009

A Low Complexity Inter Mode Decision for MPEG-2 to H.264/AVC Video Transcoding in Mobile Environments.
Proceedings of the 11th IEEE International Symposium on Multimedia, 2009

A Hybrid Adaptive Scheme based on Selective Gaussian Modeling for Real-time Object Detection.
Proceedings of the International Symposium on Circuits and Systems (ISCAS 2009), 2009

Enhanced Efficient Diamond Search Algorithm for Fast Block Motion Estimation.
Proceedings of the International Symposium on Circuits and Systems (ISCAS 2009), 2009

Data Fusion Framework for Sand Detection in Pipelines.
Proceedings of the International Symposium on Circuits and Systems (ISCAS 2009), 2009

Robust object tracking using correspondence voting for smart surveillance visual sensing nodes.
Proceedings of the International Conference on Image Processing, 2009

"Voodoo" error prediction for bit-depth scalable video coding.
Proceedings of the International Conference on Image Processing, 2009

An efficient adaptive manipulation architecture for real time video coding in Frequency Domain.
Proceedings of the International Conference on Image Processing, 2009

A multi-modal automatic image registration technique based on complex wavelets.
Proceedings of the International Conference on Image Processing, 2009

2008
Reducing Interconnect Delay Uncertainty via Hybrid Polarity Repeater Insertion.
IEEE Trans. Very Large Scale Integr. Syst., 2008

Transition Skew Coding for Global On-Chip Interconnect.
IEEE Trans. Very Large Scale Integr. Syst., 2008

Single-Phase SP-Domino: A Limited-Switching Dynamic Circuit Technique for Low-Power Wide Fan-in Logic Gates.
IEEE Trans. Circuits Syst. II Express Briefs, 2008

Self-Sleep Buffer for Distributed MTCMOS Design.
Proceedings of the 21st International Conference on VLSI Design (VLSI Design 2008), 2008

Wiring-Area Efficient Simultaneous Bidirectional Point-to-Point Link for Inter-Block On-Chip Signaling.
Proceedings of the 21st International Conference on VLSI Design (VLSI Design 2008), 2008

Guaranteeing QoS with the pipelined multi-channel central caching NoC communication architecture.
Proceedings of the 21st Annual IEEE International SoC Conference, SoCC 2008, 2008

Feedback-Switch Logic (FSL): A High-Speed Low-Power Differential Dynamic-Like Static CMOS Circuit Family.
Proceedings of the 9th International Symposium on Quality of Electronic Design (ISQED 2008), 2008

Reducing wakeup latency and energy of MTCMOS circuits via keeper insertion.
Proceedings of the 2008 International Symposium on Low Power Electronics and Design, 2008

High speed single-ended pseudo differential current sense amplifier for SRAM cell.
Proceedings of the International Symposium on Circuits and Systems (ISCAS 2008), 2008

A generalized fast motion estimation algorithm using external and internal stop search techniques for H.264 video coding standard.
Proceedings of the International Symposium on Circuits and Systems (ISCAS 2008), 2008

A low-area, low-power programmable frequency multiplier for DLL based clock synthesizers.
Proceedings of the International Symposium on Circuits and Systems (ISCAS 2008), 2008

Cost-effective and low-power memory address bus encodings.
Proceedings of the International Symposium on Circuits and Systems (ISCAS 2008), 2008

An efficient frequency domain intra prediction for H.264/AVC.
Proceedings of the International Conference on Image Processing, 2008

Power analysis of the Huffman decoding tree.
Proceedings of the International Conference on Image Processing, 2008

A gradient-based hybrid image fusion scheme using object extraction.
Proceedings of the International Conference on Image Processing, 2008

Assumers for high-speed single and multi-cycle on-chip interconnect with low repeater count.
Proceedings of the 18th ACM Great Lakes Symposium on VLSI 2008, 2008

2007
Low-Power Clock Branch Sharing Double-Edge Triggered Flip-Flop.
IEEE Trans. Very Large Scale Integr. Syst., 2007

Low-Power Cache Design Using 7T SRAM Cell.
IEEE Trans. Circuits Syst. II Express Briefs, 2007

Novel Adaptive Body Biasing Techniques for Energy Efficient Subthreshold CMOS Circuits.
J. Low Power Electron., 2007

A network of sensor-based framework for automated visual surveillance.
J. Netw. Comput. Appl., 2007

A framework for assessing residual energy in wireless sensor network.
Int. J. Sens. Networks, 2007

System-on-chip communication architecture: dynamic parallel fraction control bus design and test methodologies.
IET Comput. Digit. Tech., 2007

Hybrid multiplierless FIR filter architecture based on NEDA.
Proceedings of the IFIP VLSI-SoC 2007, 2007

PMCNOC: A Pipelining Multi-Channel Central Caching Network-on-Chip Communication Architecture Design.
Proceedings of the IEEE Workshop on Signal Processing Systems, 2007

Adaptive Techniques for a Fast Frequency Domain Motion Estimation.
Proceedings of the IEEE Workshop on Signal Processing Systems, 2007

Reducing Delay Uncertainty of On-Chip Interconnects by Combining Inverting and Non-Inverting Repeaters Insertion.
Proceedings of the 8th International Symposium on Quality of Electronic Design (ISQED 2007), 2007

Fully Decentralized Weighted Kalman Filter for Wireless Sensor Networks with FuzzyART Neural Networks.
Proceedings of the 12th IEEE Symposium on Computers and Communications (ISCC 2007), 2007

A Low Power Domino with Differential-Controlled-Keeper.
Proceedings of the International Symposium on Circuits and Systems (ISCAS 2007), 2007

A Low Power 4-bit Interleaved Burst Sampling ADC for Sub-GHz Impulse UWB Radio.
Proceedings of the International Symposium on Circuits and Systems (ISCAS 2007), 2007

An Adaptive Block Size Phase Correlation Motion Estimation Using Adaptive Early Search Termination Technique.
Proceedings of the International Symposium on Circuits and Systems (ISCAS 2007), 2007

Design and Realization of Analog Phi-Function for LDPC Decoder.
Proceedings of the International Symposium on Circuits and Systems (ISCAS 2007), 2007

Pixel-Level Image Fusion Scheme based on Linear Algebra.
Proceedings of the International Symposium on Circuits and Systems (ISCAS 2007), 2007

High Speed and Area-Efficient Multiply Accumulate (MAC) Unit for Digital Signal Prossing Applications.
Proceedings of the International Symposium on Circuits and Systems (ISCAS 2007), 2007

Low Power Lookup Tables for Huffman Decoding.
Proceedings of the International Conference on Image Processing, 2007

New Wireless Sensors Networks: The Art of Integration.
Proceedings of the 14th IEEE International Conference on Electronics, 2007

Transition Skew Coding: A Power and Area Efficient Encoding Technique for Global On-Chip Interconnects.
Proceedings of the 12th Conference on Asia South Pacific Design Automation, 2007

2006
Intelligent Mechanisms for Energy Reduction in Design of Wireless Sensor Networks using Learning Methods.
Proceedings of the Integrated Intelligent Systems for Engineering Design, 2006

A New Efficient Block-Matching Algorithm for Motion Estimation.
J. VLSI Signal Process., 2006

Editorial.
J. VLSI Signal Process., 2006

High-Speed and Low-Power IP for Embedded Block Coding with Optimized Truncation (EBCOT) Sub-Block in JPEG2000 System Implementation.
J. VLSI Signal Process., 2006

MAC-SCC: a medium access control protocol with separate control channel for reconfigurable multi-hop wireless networks.
IEEE Trans. Wirel. Commun., 2006

Design of Robust, Energy-Efficient Full Adders for Deep-Submicrometer Design Using Hybrid-CMOS Logic Style.
IEEE Trans. Very Large Scale Integr. Syst., 2006

NEDA: a low-power high-performance DCT architecture.
IEEE Trans. Signal Process., 2006

A Three-Level Parallel High-Speed Low-Power Architecture for EBCOT of JPEG 2000.
IEEE Trans. Circuits Syst. Video Technol., 2006

Design methodologies for high-performance noise-tolerant XOR-XNOR circuits.
IEEE Trans. Circuits Syst. I Regul. Pap., 2006

An Efficient Data Reuse Motion Estimation Engine.
Proceedings of the IEEE Workshop on Signal Processing Systems, 2006

A low power adaptive transmitter architecture for low band UWB applications.
Proceedings of the International Symposium on Circuits and Systems (ISCAS 2006), 2006

A power-efficient architecture for EBCOT tier-1 in JPEG 2000.
Proceedings of the International Symposium on Circuits and Systems (ISCAS 2006), 2006

A low-power clock frequency multiplier.
Proceedings of the International Symposium on Circuits and Systems (ISCAS 2006), 2006

Multi-Path Search Algorithm for Block-Based Motion Estimation.
Proceedings of the International Conference on Image Processing, 2006

Area-Efficient NEDA Architecture for The 1-D DCT/IDCT.
Proceedings of the 2006 IEEE International Conference on Acoustics Speech and Signal Processing, 2006

2005
Coefficient Elimination Algorithm for Low Energy Distributed Arithmetic DCT Architectures.
J. VLSI Signal Process., 2005

Efficient shield insertion for inductive noise reduction in nanometer technologies.
IEEE Trans. Very Large Scale Integr. Syst., 2005

A Fast Scheduling Algorithm for Low Power Design.
J. Circuits Syst. Comput., 2005

Noise Metrics in Flip-Flop Designs.
IEICE Trans. Inf. Syst., 2005

Autonomous Decentralized Systems Based Approach to Object Detection in Sensor Clusters.
IEICE Trans. Commun., 2005

Editorial.
EURASIP J. Adv. Signal Process., 2005

Dynamic fraction control bus: new SOC on-chip communication architecture design.
Proceedings of the Proceedings 2005 IEEE International SOC Conference, 2005

Novel 7T sram cell for low power cache design.
Proceedings of the Proceedings 2005 IEEE International SOC Conference, 2005

Precharged SRAM cell for ultra low-power on-chip cache.
Proceedings of the Proceedings 2005 IEEE International SOC Conference, 2005

Wireless Sensor Networks: A New Life Paradigm.
Proceedings of the Integrated Circuit and System Design, 2005

Design and Implementaion of a 2D-DCT Architecture Using Coefficient Distributed Arithmetic.
Proceedings of the 2005 IEEE Computer Society Annual Symposium on VLSI (ISVLSI 2005), 2005

A partial parallel algorithm and architecture for arithmetic encoder in JPEG2000.
Proceedings of the International Symposium on Circuits and Systems (ISCAS 2005), 2005

Low complexity decimation filter for multi-standard digital receivers.
Proceedings of the International Symposium on Circuits and Systems (ISCAS 2005), 2005

A new gate-level body biasing technique for PMOS transistors in subthreshold CMOS circuits.
Proceedings of the International Symposium on Circuits and Systems (ISCAS 2005), 2005

A systematic framework for high throughput MAP decoder VLSI architectures.
Proceedings of the International Symposium on Circuits and Systems (ISCAS 2005), 2005

Novel systolic array architecture for the decorrelator using conjugate gradient for least squares algorithm.
Proceedings of the International Symposium on Circuits and Systems (ISCAS 2005), 2005

Dual sense amplified bit lines (DSABL) architecture for low-power SRAM design.
Proceedings of the International Symposium on Circuits and Systems (ISCAS 2005), 2005

Three-level parallel high speed architecture for EBCOT in JPEG2000.
Proceedings of the 2005 IEEE International Conference on Acoustics, 2005

Novel high-throughput EBCOT architecture for JPEG2000.
Proceedings of the 2005 IEEE International Conference on Acoustics, 2005

Noise-tolerant high fan-in dynamic CMOS circuit design.
Proceedings of the 15th ACM Great Lakes Symposium on VLSI 2005, 2005

Fraction Control Bus: A New SoC On-chip Communication Architecture Design.
Proceedings of The 2005 International Conference on Embedded Systems and Applications, 2005

An Architecture for Automated Scene Understanding.
Proceedings of the Seventh International Workshop on Computer Architectures for Machine Perception (CAMP 2005), 2005

2004
A Low Power Architecture for HASM Motion Tracking.
J. VLSI Signal Process., 2004

High-performance and low-power conditional discharge flip-flop.
IEEE Trans. Very Large Scale Integr. Syst., 2004

Low Power Full Search Block Matching Motion Estimation Vlsi Architectures.
J. Circuits Syst. Comput., 2004

A methodology for low power scheduling with resources operating at multiple voltages.
Integr., 2004

A Double-Edge Implicit-Pulsed Level Convert Flip-Flop.
Proceedings of the 2004 IEEE Computer Society Annual Symposium on VLSI (ISVLSI 2004), 2004

New Bulk Dynamic Threshold NMOS Schemes for Low-Energy Subthreshold Domino-Like Circui.
Proceedings of the 2004 IEEE Computer Society Annual Symposium on VLSI (ISVLSI 2004), 2004

Contention reduced/conditional discharge flip-flops for level conversion in CVS systems.
Proceedings of the 2004 International Symposium on Circuits and Systems, 2004

Power efficient architecture for (3, 6)-regular low-density parity-check code decoder.
Proceedings of the 2004 International Symposium on Circuits and Systems, 2004

B-DTNMOS: a novel bulk dynamic threshold NMOS scheme.
Proceedings of the 2004 International Symposium on Circuits and Systems, 2004

Enhanced Parallel Interference Cancellation using Decorrelator for the base-station receiver.
Proceedings of the 2004 International Symposium on Circuits and Systems, 2004

Hopfield associative memory on mesh.
Proceedings of the 2004 International Symposium on Circuits and Systems, 2004

A data merging technique for high-speed low-power multiply accumulate units.
Proceedings of the 2004 IEEE International Conference on Acoustics, 2004

Dynamic profiling algorithms for low bit rate video applications.
Proceedings of the 2004 IEEE International Conference on Acoustics, 2004

2003
Discrete Wavelet Transform: Architectures, Design and Performance Issues.
J. VLSI Signal Process., 2003

Efficient Mapping Algorithm of Multilayer Neural Network on Torus Architecture.
IEEE Trans. Parallel Distributed Syst., 2003

A computational kernel for fast and efficient compressed-domain calculations of wavelet subband energies.
IEEE Trans. Circuits Syst. II Express Briefs, 2003

Low power very large scale integration prototype for three-dimensional discrete wavelet transform processor with medical applications.
J. Electronic Imaging, 2003

Editorial.
EURASIP J. Adv. Signal Process., 2003

Energy Efficient and Noise-Tolerant XOR-XNOR Circuit Design.
Proceedings of the International Conference on VLSI, 2003

Novel Design Methodology for High-Performance XOR-XNOR Circuit Design.
Proceedings of the 16th Annual Symposium on Integrated Circuits and Systems Design, 2003

Minimum-Area Shield Insertion for Explicit Inductive Noise Reduction.
Proceedings of the 16th Annual Symposium on Integrated Circuits and Systems Design, 2003

A Novel Technique for Noise-Tolerance in Dynamic Circuits.
Proceedings of the 2003 IEEE Computer Society Annual Symposium on VLSI (ISVLSI 2003), 2003

Crosstalk Noise Analysis in Ultra Deep Submicrometer Technologies.
Proceedings of the 2003 IEEE Computer Society Annual Symposium on VLSI (ISVLSI 2003), 2003

Digital IF decimation filters for 3G systems using pipeline/interleaving architecture.
Proceedings of the Seventh International Symposium on Signal Processing and Its Applications, 2003

Noise-constrained interconnect optimization for nanometer technologies.
Proceedings of the 2003 International Symposium on Circuits and Systems, 2003

Memory accesses reduction for MIME algorithm.
Proceedings of the 2003 IEEE International Conference on Multimedia and Expo, 2003

An efficient minimum area spacing algorithm for noise reduction.
Proceedings of the 2003 10th IEEE International Conference on Electronics, 2003

MAC-SCC: Medium Access Control with a Separate Control Channel for Multihop Wireless Networks.
Proceedings of the 23rd International Conference on Distributed Computing Systems Workshops (ICDCS 2003 Workshops), 2003

Parallel high-speed architecture for EBCOT in JPEG2000.
Proceedings of the 2003 IEEE International Conference on Acoustics, 2003

Noise tolerant low voltage XOR-XNOR for fast arithmetic.
Proceedings of the 13th ACM Great Lakes Symposium on VLSI 2003, 2003

Fault Tolerant Hopfield Associative Memory on Torus.
Proceedings of the 18th IEEE International Symposium on Defect and Fault-Tolerance in VLSI Systems (DFT 2003), 2003

Low Power Conditional-Discharge Pulsed Flip-Flops.
Proceedings of the International Conference on Embedded Systems and Applications, 2003

2002
A Multiplication-Free Algorithm and A Parallel Architecture for Affine Transformation.
J. VLSI Signal Process., 2002

Performance analysis of low-power 1-bit CMOS full adder cells.
IEEE Trans. Very Large Scale Integr. Syst., 2002

Three-dimensional discrete wavelet transform architectures.
IEEE Trans. Signal Process., 2002

Algorithm-based low-power VLSI architecture for 2D mesh video-object motion tracking.
IEEE Trans. Circuits Syst. Video Technol., 2002

A Low Power High Performance Distributed DCT Architecture.
Proceedings of the 2002 IEEE Computer Society Annual Symposium on VLSI (ISVLSI 2002), 2002

Noise Tolerant Low Power Dynamic TSPCL D Flip-Flops.
Proceedings of the 2002 IEEE Computer Society Annual Symposium on VLSI (ISVLSI 2002), 2002

Noise-tolerant design and analysis for a low-voltage dynamic full adder cell.
Proceedings of the 2002 International Symposium on Circuits and Systems, 2002

Low power SOVA architecture using bi-directional scheme.
Proceedings of the 2002 International Symposium on Circuits and Systems, 2002

On low power high level synthesis using genetic algorithms.
Proceedings of the 2002 9th IEEE International Conference on Electronics, 2002

A merged multiplier-accumulator for high speed signal processing applications.
Proceedings of the IEEE International Conference on Acoustics, 2002

Low power full search block matching motion estimation architecture.
Proceedings of the Third International Workshop on Digital and Computational Video, 2002

A low power VLSI architecture for multistage interval-based motion estimation (MIME) algorithm.
Proceedings of the Third International Workshop on Digital and Computational Video, 2002

Systolic array architectures for full-search block matching motion estimation.
Proceedings of the Third International Workshop on Digital and Computational Video, 2002

2001
Efficient low-bit-rate adaptive mesh-based motion compensation technique.
Proceedings of the Visual Information Processing X, Orlando, FL, USA, April 16, 2001, 2001

A low power 10-transistor full adder cell for embedded architectures.
Proceedings of the 2001 International Symposium on Circuits and Systems, 2001

Enhanced low power motion estimation VLSI architectures for video compression.
Proceedings of the 2001 International Symposium on Circuits and Systems, 2001

A mesh based motion tracking architecture.
Proceedings of the 2001 International Symposium on Circuits and Systems, 2001

Hybrid Mesh-Based/Block-Based Motion Compensation Architecture.
Proceedings of the 2nd International Workshop on Digital and Computational Video (DCV 2001), 2001

2000
Video codec incorporating block-based multihypothesis motion-compensated prediction.
Proceedings of the Visual Communications and Image Processing 2000, 2000

Low-bit-rate generalized quad-tree motion compensation algorithm and its optimal encoding schemes.
Proceedings of the Visual Communications and Image Processing 2000, 2000

A high-performance 1D-DCT architecture.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2000

A VLSI architecture for hierarchical mesh based motion compensation using scalable affine transformation core.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2000

A New Block-Matching Motion Estimation Algorithm Based on Successive Elimination.
Proceedings of the 2000 International Conference on Image Processing, 2000

An efficient low-bit rate adaptive mesh-based motion compensation technique.
Proceedings of the 2000 7th IEEE International Conference on Electronics, 2000

A scalable affine core for mesh-based video object motion compensation.
Proceedings of the 2000 7th IEEE International Conference on Electronics, 2000

Low Power Video Object Motion-Tracking Architecture for Very Low Bit Rate Online Video Applications.
Proceedings of the IEEE International Conference On Computer Design: VLSI In Computers & Processors, 2000

On minimizing hierarchical mesh coding overhead: (HASM) hierarchical adaptive structured mesh approach.
Proceedings of the IEEE International Conference on Acoustics, 2000

Compressed Domain Texture Classification from a Modified EZW Symbol Stream.
Proceedings of the Data Compression Conference, 2000

An Efficient Successive Elimination Algorithm for Block-Matching Motion Estimation.
Proceedings of the Data Compression Conference, 2000

An Efficient Low-Bit Rate Motion Compensation Technique Based on Quadtree.
Proceedings of the Data Compression Conference, 2000

Compressed-Domain Classification of Texture Images.
Proceedings of the Fifth International Workshop on Computer Architectures for Machine Perception (CAMP 2000), 2000

A Scalable Affine Core for Video Object Motion Compensation.
Proceedings of the Fifth International Workshop on Computer Architectures for Machine Perception (CAMP 2000), 2000

A 108 Gbps, 1.5 GHz 1D-DCT Architecture.
Proceedings of the 12th IEEE International Conference on Application-Specific Systems, 2000

A Multiplication-Free Parallel Architecture for Affine Transformation.
Proceedings of the 12th IEEE International Conference on Application-Specific Systems, 2000

1999
Performance analysis for a new automatic error control system for overcoming atmospheric multipath fading with random bit errors for wireless ATM networks.
Proceedings of the 1999 IEEE Wireless Communications and Networking Conference, 1999

Performance Analysis for a New Automatic Error Control System for Overcoming Turbulent Fading Errors for Wireless ATM Networks.
Proceedings of the Fourth IEEE Symposium on Computers and Communications (ISCC 1999), 1999

A low power prototype for a 3D discrete wavelet transform processor.
Proceedings of the 1999 International Symposium on Circuits and Systems, ISCAS 1999, Orlando, Florida, USA, May 30, 1999

Performance evaluation of 1-bit CMOS adder cells.
Proceedings of the 1999 International Symposium on Circuits and Systems, ISCAS 1999, Orlando, Florida, USA, May 30, 1999

A 10-transistor low-power high-speed full adder cell.
Proceedings of the 1999 International Symposium on Circuits and Systems, ISCAS 1999, Orlando, Florida, USA, May 30, 1999

A non-zero clock skew scheduling algorithm for high speed clock distribution network.
Proceedings of the 1999 International Symposium on Circuits and Systems, ISCAS 1999, Orlando, Florida, USA, May 30, 1999

Minimizing switchings of the function units through binding for low power.
Proceedings of the 1999 International Symposium on Circuits and Systems, ISCAS 1999, Orlando, Florida, USA, May 30, 1999

Multiple voltage-based scheduling methodology for low power in the high level synthesis.
Proceedings of the 1999 International Symposium on Circuits and Systems, ISCAS 1999, Orlando, Florida, USA, May 30, 1999

Methodologies for binding function units for low power in high level synthesis.
Proceedings of the 6th IEEE International Conference on Electronics, Circuits and Systems, 1999

A low power and high performance core for planar object overlaying.
Proceedings of the 6th IEEE International Conference on Electronics, Circuits and Systems, 1999

Novel Formulations for Low-Power Binding of Function Units in High-Level Synthesis.
Proceedings of the IEEE International Conference On Computer Design, 1999

1998
A Vlsi Architecture for Separable 2-D Discrete Wavelet Transform.
J. VLSI Signal Process., 1998

Three-dimensional defect sensitivity modeling for open circuits in ULSI structures.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 1998

A New Full Adder Cell for Low-Power Applications.
Proceedings of the 8th Great Lakes Symposium on VLSI (GLS-VLSI '98), 1998

1997
An Efficient Mapping Algorithm of Multilayer Perceptron on Mesh-connected Architectures.
Parallel Algorithms Appl., 1997

T1: Multimedia.
Proceedings of the 10th International Conference on VLSI Design (VLSI Design 1997), 1997

A prototype chipset for a large scaleable ATM switching node.
Proceedings of the 7th Great Lakes Symposium on VLSI (GLS-VLSI '97), 1997

A low power based system partitioning and binding technique for multi-chip module architectures.
Proceedings of the 7th Great Lakes Symposium on VLSI (GLS-VLSI '97), 1997

1996
Correction to "Efficient Mapping of ANNs on Hypercube Massively Parallel Machines".
IEEE Trans. Computers, 1996

The Extended Cube Connected Cycles: An Efficient Interconnection for Massively Parallel Systems.
IEEE Trans. Computers, 1996

A Graph-Based Approach to the Synthesis of Multi-Chip Module Architectures.
Proceedings of the 9th International Conference on VLSI Design (VLSI Design 1996), 1996

A new ATM switch architecture: scalable shared buffer.
Proceedings of Third International Conference on Electronics, Circuits, and Systems, 1996

A High Speed VLSI Architecture for Scaleable ATM Switches.
Proceedings of the 6th Great Lakes Symposium on VLSI (GLS-VLSI '96), 1996

1995
Tree-based special-purpose Array architectures for neural computing.
J. VLSI Signal Process., 1995

Introduction.
J. VLSI Signal Process., 1995

Efficient Mapping of ANNs on Hypercube Massively Parallel Machines.
IEEE Trans. Computers, 1995

A New Thinning Algorithm for Arabic Character Using Self-Organizing Neural Network.
Proceedings of the 1995 IEEE International Symposium on Circuits and Systems, ISCAS 1995, Seattle, Washington, USA, April 30, 1995

A new ATM congestion control scheme for shared buffer switch architectures.
Proceedings of the 4th International Conference on Computer Communications and Networks (ICCCN '95), 1995

A scalable analog architecture for neural networks with on-chip learning and refreshing.
Proceedings of the 5th Great Lakes Symposium on VLSI (GLS-VLSI '95), 1995

A scalable shared buffer ATM switch architecture.
Proceedings of the 5th Great Lakes Symposium on VLSI (GLS-VLSI '95), 1995

1994
The Hierarchical Hypercube: A New Interconnection Topology for Massively Parallel Systems.
IEEE Trans. Parallel Distributed Syst., 1994

Bitonic Sort on the Connection Machine.
Parallel Algorithms Appl., 1994

Parameterized Modeling of Open-Circuit Critical Volume for Three-Dimensional Defects in VLSI Processing.
Proceedings of the Seventh International Conference on VLSI Design, 1994

Storage Allocation Strategies for Data Path Synthesis of ACICs.
Proceedings of the 1994 IEEE International Symposium on Circuits and Systems, ISCAS 1994, London, England, UK, May 30, 1994

Arabic Text Recognition Using Neural Networks.
Proceedings of the 1994 IEEE International Symposium on Circuits and Systems, ISCAS 1994, London, England, UK, May 30, 1994

Automated system partitioning for synthesis of multi-chip modules.
Proceedings of the Fourth Great Lakes Symposium on Design Automation of High Performance VLSI Systems, 1994

1993
An Efficient Mapping of Multilayer Perceptron with Backpropagation ANNs on Hypercubes.
Proceedings of the Fifth IEEE Symposium on Parallel and Distributed Processing, 1993

Parallel Implementation of a Cut and Paste Maze Routing Algorithm.
Proceedings of the 1993 IEEE International Symposium on Circuits and Systems, 1993

On the Hierarchical Hypercube Interconnection Network.
Proceedings of the Seventh International Parallel Processing Symposium, 1993

An application-specific array architecture for feedforward with backpropagation ANNs.
Proceedings of the International Conference on Application-Specific Array Processors, 1993

1992
VLSI parallel architecture for Kalman filter<i>An algorithm specific approach</i>.
J. VLSI Signal Process., 1992

Image segmentation on a 2D array by a directed split and merge procedure.
IEEE Trans. Signal Process., 1992

A Reconfiguration Technique for Reliable VLSI DSP Array Processors.
J. Circuits Syst. Comput., 1992

Properties and Performance of the Hierarchical Hypercube.
Proceedings of the 6th International Parallel Processing Symposium, 1992

SDS: a framework for the design of DSP ASICs.
Proceedings of the 1992 IEEE International Conference on Acoustics, 1992

1991
VLSI implementation of a systolic database machine for relational algebra and hashing.
Integr., 1991

A Parallelized Algorithm for the All-Row Preconditioned Interval Newton/Generalized Bisection Method.
Proceedings of the Fifth SIAM Conference on Parallel Processing for Scientific Computing, 1991

From Algorithms to Parallel Architectures: A Formal Approach.
Proceedings of the Fifth International Parallel Processing Symposium, Proceedings, Anaheim, California, USA, April 30, 1991

A high speed pipelined FFT processor.
Proceedings of the 1991 International Conference on Acoustics, 1991

1990
Systolic temporal arithmetic: a new formalism for specification and verification of systolic arrays.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 1990

Systolic array implementation of image segmentation by a directed split and merge procedure.
Proceedings of the 10th IAPR International Conference on Pattern Recognition, 1990

Formal Synthesis of a Parallel Architectures from Recursive Equations.
Proceedings of the 1990 International Conference on Parallel Processing, 1990

A formal high level synthesis approach for DSP architectures.
Proceedings of the 1990 International Conference on Acoustics, 1990

A formal design methodology for parallel architectures.
Proceedings of the Application Specific Array Processors, 1990

A Framework for High Level Synthesis of Digital Architectures from U-Recursive Algorithms.
Proceedings of the ACM 18th Annual Computer Science Conference on Cooperation, 1990

1989
Systematic Algorithm Mapping for Multidimensional Systolic Arrays.
J. Parallel Distributed Comput., 1989

The design and implementation of multidimensional systolic arrays for DSP applications.
Proceedings of the IEEE International Conference on Acoustics, 1989

θ(logN) architectures for RNS arithmetic decoding.
Proceedings of the 9th Symposium on Computer Arithmetic, 1989

1988
Algorithms for High Speed Multi-Dimensional Arithmetic and DSP Systolic Arrays.
Proceedings of the International Conference on Parallel Processing, 1988

A fault-tolerant bit-serial array structure for digital filters.
Proceedings of the IEEE International Conference on Acoustics, 1988

Multi-dimensional systolic networks for DSP algorithms.
Proceedings of the IEEE International Conference on Acoustics, 1988

Reliable modulo systolic arrays for DSP algorithms.
Proceedings of the IEEE International Conference on Acoustics, 1988

1987
A quadratic residue processor for complex DSP applications.
Proceedings of the IEEE International Conference on Acoustics, 1987

1986
Lower bounds for VLSI implementation of residue number system architectures.
Integr., 1986

A VLSI array for computing the DFT based on RNS.
Proceedings of the IEEE International Conference on Acoustics, 1986

1985
Vlsi Implementaton of residue number System Architectures.
PhD thesis, 1985

An efficient VLSI adder for DSP architectures based on RNS.
Proceedings of the IEEE International Conference on Acoustics, 1985

A VLSI implementation of an FFT/NTT computational unit.
Proceedings of the IEEE International Conference on Acoustics, 1985

1984
A VLSI model for residue number system architectures.
Integr., 1984

A systolic (VLSI) array using RNS for digital signal processing applications.
Proceedings of the ACM 12th annual computer science conference on SIGCSE symposium, 1984

1983
An area-time efficient NMOS adder.
Integr., 1983

Models for VLSI implementation of residue number system arithmetic modules.
Proceedings of the 6th IEEE Symposium on Computer Arithmetic, 1983


  Loading...