Robert Michael Owens

According to our database1, Robert Michael Owens authored at least 103 papers between 1979 and 2000.

Collaborative distances:
  • Dijkstra number2 of four.
  • Erdős number3 of four.

Timeline

Legend:

Book 
In proceedings 
Article 
PhD thesis 
Dataset
Other 

Links

On csauthors.net:

Bibliography

2000
The design of the MGAP-2: a micro-grained massively parallel array.
IEEE Trans. Very Large Scale Integr. Syst., 2000

1999
Aggressive Dynamic Execution of Decoded Traces.
J. VLSI Signal Process., 1999

A Fast and Simple Steiner Routing Heuristic.
Discret. Appl. Math., 1999

1998
A Very Efficient Storage Structure for DWT and IDWT Filters.
J. VLSI Signal Process., 1998

A Parallel ASIC Architecture for Efficient Fractal Image Coding.
J. VLSI Signal Process., 1998

Aggressive Dynamic Execution of Multimedia Kernel Traces.
Proceedings of the 12th International Parallel Processing Symposium / 9th Symposium on Parallel and Distributed Processing (IPPS/SPDP '98), March 30, 1998

Validation of an Architectural Level Power Analysis Technique.
Proceedings of the 35th Conference on Design Automation, 1998

1997
A fast algorithm for minimizing the Elmore delay to identified critical sinks.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 1997

Motion Analysis on the Micro Grained Array Processor.
Real Time Imaging, 1997

Power-Area Trade-Offs in Divided Word Line Memory Arrays.
J. Circuits Syst. Comput., 1997

A Simulation Methodology for Software Energy Evaluation.
Proceedings of the 10th International Conference on VLSI Design (VLSI Design 1997), 1997

Techniques for low energy software.
Proceedings of the 1997 International Symposium on Low Power Electronics and Design, 1997

Analysis of power consumption in memory hierarchies.
Proceedings of the 1997 International Symposium on Low Power Electronics and Design, 1997

Mixed-autonomy local interconnect for reconfigurable SIMD arrays.
Proceedings of the Fourth International on High-Performance Computing, 1997

A Clocked, Static Circuit Technique for Building Efficient High Frequency Pipelines.
Proceedings of the 7th Great Lakes Symposium on VLSI (GLS-VLSI '97), 1997

The MGAP Family of Processor Arrays.
Proceedings of the 7th Great Lakes Symposium on VLSI (GLS-VLSI '97), 1997

1996
Architectures for wavelet transforms: A survey.
J. VLSI Signal Process., 1996

An optimal time multiplication free algorithm for edge detection on a mesh.
J. VLSI Signal Process., 1996

Transistor sizing for low power CMOS circuits.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 1996

Design tradeoffs in high speed multipliers and FIR filters.
Proceedings of the 9th International Conference on VLSI Design (VLSI Design 1996), 1996

Power comparisons for barrel shifters.
Proceedings of the 1996 International Symposium on Low Power Electronics and Design, 1996

Instruction level power profiling.
Proceedings of the 1996 IEEE International Conference on Acoustics, 1996

Simultaneous speech segmentation and phoneme recognition using dynamic programming.
Proceedings of the 1996 IEEE International Conference on Acoustics, 1996

Some Issues in Gray Code Addressing.
Proceedings of the 6th Great Lakes Symposium on VLSI (GLS-VLSI '96), 1996

Recent Developments in Performance Driven Steiner Routing: An Overview.
Proceedings of the 6th Great Lakes Symposium on VLSI (GLS-VLSI '96), 1996

Energy Characterization based on Clustering.
Proceedings of the 33st Conference on Design Automation, 1996

A Common Architecture For The DWT and IDWT.
Proceedings of the 1996 International Conference on Application-Specific Systems, 1996

An Architectural Design For Parallel Fractal Compression.
Proceedings of the 1996 International Conference on Application-Specific Systems, 1996

Architectural Optimizations For A Floating Point Multiply-Accumulate Unit In A Graphics Pipeline.
Proceedings of the 1996 International Conference on Application-Specific Systems, 1996

1995
Digit pipelined arithmetic on fine-grain array processors.
J. VLSI Signal Process., 1995

Minimizing power consumption of static CMOS circuits by transistor sizing and input reordering.
Proceedings of the 8th International Conference on VLSI Design (VLSI Design 1995), 1995

A simulation methodology for evaluating parallel computers.
Proceedings of the Seventh IEEE Symposium on Parallel and Distributed Processing, 1995

Unifying carry-sum and signed-digital number representations for low power.
Proceedings of the 1995 International Symposium on Low Power Design 1995, 1995

Transistor sizing for minimizing power consumption of CMOS circuits under delay constraint.
Proceedings of the 1995 International Symposium on Low Power Design 1995, 1995

High-throughput and low-power DSP using clocked-CMOS circuitry.
Proceedings of the 1995 International Symposium on Low Power Design 1995, 1995

The MGAP-2: an advanced, massively parallel VLSI signal processor.
Proceedings of the 1995 International Conference on Acoustics, 1995

A survey of architectures for the discrete and continuous wavelet transforms.
Proceedings of the 1995 International Conference on Acoustics, 1995

Fast algorithm for performance-oriented Steiner routing.
Proceedings of the 5th Great Lakes Symposium on VLSI (GLS-VLSI '95), 1995

Accurate Estimation of Combinational Circuit Activity.
Proceedings of the 32st Conference on Design Automation, 1995

Motion Estimation Algorithms on Fine Grain Array Processor.
Proceedings of the International Conference on Application Specific Array Processors (ASAP'95), 1995

The MGAP's programming environment and the *C++ language.
Proceedings of the International Conference on Application Specific Array Processors (ASAP'95), 1995

Reducing the number of counters needed for integer multiplication.
Proceedings of the 12th Symposium on Computer Arithmetic (ARITH-12 '95), 1995

1994
Power-delay characteristics of CMOS adders.
IEEE Trans. Very Large Scale Integr. Syst., 1994

Logic synthesis for field-programmable gate arrays.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 1994

An edge-based heuristic for Steiner routing.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 1994

Polynomial Time Testability of Circuits Generated by Input Decomposition.
IEEE Trans. Computers, 1994

Area Time Trade-Offs in Micro-Grain VLSI Array Architectures.
IEEE Trans. Computers, 1994

Dynamic Space Warping Algorithms on Fine-Graln Array Processors.
Proceedings of the 8th International Symposium on Parallel Processing, 1994

Digit pipelined discrete wavelet transform.
Proceedings of ICASSP '94: IEEE International Conference on Acoustics, 1994

The MGAP: A High Performance, User Programmable, Multifunctional Architecture for DS.
Proceedings of the 27th Annual Hawaii International Conference on System Sciences (HICSS-27), 1994

FPGA-based synthesis of FSMs through decomposition.
Proceedings of the Fourth Great Lakes Symposium on Design Automation of High Performance VLSI Systems, 1994

1993
The design and implementation of the Arithmetic Cube II, a VLSI signal processing system.
IEEE Trans. Very Large Scale Integr. Syst., 1993

A Massively Parallel, Micro-Grained VLSI Architecture.
Proceedings of the Sixth International Conference on VLSI Design, 1993

Image Processing with the MGAP: A Cost Effective Solution.
Proceedings of the Seventh International Parallel Processing Symposium, 1993

A new blocked IIR algorithm.
Proceedings of the IEEE International Conference on Acoustics, 1993

Edge detection using fine-grained parallelism in VLSI.
Proceedings of the IEEE International Conference on Acoustics, 1993

Multi-way FSM decomposition based on interconnect complexity.
Proceedings of the European Design Automation Conference 1993, 1993

Digit systolic algorithms for fine-grain architectures.
Proceedings of the International Conference on Application-Specific Array Processors, 1993

1992
Efficiently computing communication complexity for multilevel logic synthesis.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 1992

ELM-A Fast Addition Algorithm Discovered by a Program.
IEEE Trans. Computers, 1992

ECube: An Efficient Architecture for Analyzing Time-Varying Spectra.
Proceedings of the Fifth International Conference on VLSI Design, 1992

An AT<sup>2</sup> lower bound for wavelet transforms in VLSI.
Proceedings of the 1992 IEEE International Conference on Acoustics, 1992

A micro-grained VLSI signal processor.
Proceedings of the 1992 IEEE International Conference on Acoustics, 1992

PERFLEX: a performance driven module generator.
Proceedings of the conference on European design automation, 1992

Experiments with a Performance Driven Module Generator.
Proceedings of the 29th Design Automation Conference, 1992

Discrete wavelet transforms in VLSI.
Proceedings of the Application Specific Array Processors, 1992

Implementing a family of high performance, micrograined architectures.
Proceedings of the Application Specific Array Processors, 1992

1991
A Two-Dimensional, Distributed Logic Architecture.
IEEE Trans. Computers, 1991

Digit Serial Multipliers.
J. Parallel Distributed Comput., 1991

The arithmetic cube II: a second generation VLSI DSP processor.
Proceedings of the 1991 International Conference on Acoustics, 1991

The Arithmetic Cube: error analysis and simulation.
Proceedings of the Application Specific Array Processors, 1991

1990
A case for digit serial VLSI signal processors.
J. VLSI Signal Process., 1990

Exploiting communication complexity for multilevel logic synthesis.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 1990

Being Stingy with Multipliers.
IEEE Trans. Computers, 1990

An integrated, multi-level synthesis system.
Proceedings of the First International Workshop on Rapid System Prototyping, 1990

Test generation in circuits constructed by input decomposition.
Proceedings of the 1990 IEEE International Conference on Computer Design: VLSI in Computers and Processors, 1990

Logic synthesis for programmable logic devices.
Proceedings of the 1990 IEEE International Conference on Computer Design: VLSI in Computers and Processors, 1990

Distortion processing in image matching problems.
Proceedings of the 1990 International Conference on Acoustics, 1990

A two-dimensional, distributed logic processor for machine vision.
Proceedings of the 1990 International Conference on Acoustics, 1990

Mapping high-dimension wavefront computations to silicon.
Proceedings of the Application Specific Array Processors, 1990

1989
Implementing algorithms for convolution on arrays of adders.
Proceedings of the IEEE International Conference on Acoustics, 1989

A Comparison of Four Two-dimensional Gate Matrix Layout Tools.
Proceedings of the 26th ACM/IEEE Design Automation Conference, 1989

Multi-Level Logic Synthesis Using Communication Complexity.
Proceedings of the 26th ACM/IEEE Design Automation Conference, 1989

1988
A comparison of two digit serial VLSI adders.
Proceedings of the Computer Design: VLSI in Computers and Processors, 1988

Multidimensional algorithms for VLSI processors.
Proceedings of the IEEE International Conference on Acoustics, 1988

DECOMPOSER: A Synthesizer for Systolic Systems.
Proceedings of the 25th ACM/IEEE Conference on Design Automation, 1988

1987
Digit pipelined processors.
J. Supercomput., 1987

The Arithmetic Cube.
IEEE Trans. Computers, 1987

Digit-Pipelined Arithmetic as Illustrated By the Paste-Up System: A Tutorial.
Computer, 1987

An Overview of the Penn State Design System.
Proceedings of the 24th ACM/IEEE Design Automation Conference. Miami Beach, FL, USA, June 28, 1987

Mesh Arrays and LOGICIAN: A Tool for Their Efficient Generation.
Proceedings of the 24th ACM/IEEE Design Automation Conference. Miami Beach, FL, USA, June 28, 1987

Systolic & semi-systolic digit serial multipliers.
Proceedings of the 8th IEEE Symposium on Computer Arithmetic, 1987

1986
A VLSI chip for the winograd/Prime factor algorithm to compute the discrete Fourier transform.
IEEE Trans. Acoust. Speech Signal Process., 1986

A System for Designing, Simulating, and Testing High Performance VLSI Signal Processors.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 1986

Optimal Algorithms for Mesh-Connected Parallel Processors with Serial Memories.
Proceedings of the International Conference on Parallel Processing, 1986

1985
Parallel Sorting with Serial Momories.
IEEE Trans. Computers, 1985

1984
VLSI Sorting with Reduced Hardware.
IEEE Trans. Computers, 1984

1983
Techniques to Reduce the Inherent Limitations of Fully Digit On-Line Arithmetic.
IEEE Trans. Computers, 1983

Fully Digit On-Line Networks.
IEEE Trans. Computers, 1983

An architecture for a VLSI FFT processor.
Integr., 1983

Numerical limitations on the design of digit online networks.
Proceedings of the 6th IEEE Symposium on Computer Arithmetic, 1983

1981
Compound algorithms for digit online arithmetic.
Proceedings of the 5th IEEE Symposium on Computer Arithmetic, 1981

1979
On-Line Algorithms for the Design of Pipeline Architectures.
Proceedings of the 6th Annual Symposium on Computer Architecture, 1979


  Loading...