Sangjin Kim

This page is a disambiguation page, it actually contains mutiple papers from persons of the same or a similar name.

Bibliography

2024
An Overview of Computing-in-Memory Circuits With DRAM and NVM.
IEEE Trans. Circuits Syst. II Express Briefs, March, 2024

DynaPlasia: An eDRAM In-Memory Computing-Based Reconfigurable Spatial Accelerator With Triple-Mode Cell.
IEEE J. Solid State Circuits, January, 2024

C-DNN: An Energy-Efficient Complementary Deep-Neural-Network Processor With Heterogeneous CNN/SNN Core Architecture.
IEEE J. Solid State Circuits, January, 2024

MetaVRain: A Mobile Neural 3-D Rendering Processor With Bundle-Frame-Familiarity-Based NeRF Acceleration and Hybrid DNN Computing.
IEEE J. Solid State Circuits, January, 2024

COOL-NPU: Complementary Online Learning Neural Processing Unit.
IEEE Micro, 2024

A Low-Power Artificial-Intelligence-Based 3-D Rendering Processor With Hybrid Deep Neural Network Computing.
IEEE Micro, 2024

20.5 C-Transformer: A 2.6-18.1μJ/Token Homogeneous DNN-Transformer/Spiking-Transformer Processor with Big-Little Network and Implicit Weight Generation for Large Language Models.
Proceedings of the IEEE International Solid-State Circuits Conference, 2024

2023
SNPU: An Energy-Efficient Spike Domain Deep-Neural-Network Processor With Two-Step Spike Encoding and Shift-and-Accumulation Unit.
IEEE J. Solid State Circuits, October, 2023

Neuro-CIM: ADC-Less Neuromorphic Computing-in-Memory Processor With Operation Gating/Stopping and Digital-Analog Networks.
IEEE J. Solid State Circuits, October, 2023

An Efficient Deep-Learning-Based Super-Resolution Accelerating SoC With Heterogeneous Accelerating and Hierarchical Cache.
IEEE J. Solid State Circuits, March, 2023

Encoding Speaker-Specific Latent Speech Feature for Speech Synthesis.
CoRR, 2023

VITS2: Improving Quality and Efficiency of Single-Stage Text-to-Speech with Adversarial Learning and Architecture Design.
CoRR, 2023

Development of a virtual metrology system for smart manufacturing: A case study of spandex fiber production.
Comput. Ind., 2023

A 709.3 TOPS/W Event-Driven Smart Vision SoC with High-Linearity and Reconfigurable MRAM PIM.
Proceedings of the 2023 IEEE Symposium on VLSI Technology and Circuits (VLSI Technology and Circuits), 2023

GPPU: A 330.4-μJ/ task Neural Path Planning Processor with Hybrid GNN Acceleration for Autonomous 3D Navigation.
Proceedings of the 2023 IEEE Symposium on VLSI Technology and Circuits (VLSI Technology and Circuits), 2023

Scaling-CIM: An eDRAM-based In-Memory-Computing Accelerator with Dynamic-Scaling ADC for SQNR-Boosting and Layer-wise Adaptive Bit-Truncation.
Proceedings of the 2023 IEEE Symposium on VLSI Technology and Circuits (VLSI Technology and Circuits), 2023

NeRPIM: A 4.2 mJ/frame Neural Rendering Processing-in-memory Processor with Space Encoding Block-wise Mapping for Mobile Devices.
Proceedings of the 2023 IEEE Symposium on VLSI Technology and Circuits (VLSI Technology and Circuits), 2023

DynaPlasia: An eDRAM In-Memory-Computing-Based Reconfigurable Spatial Accelerator with Triple-Mode Cell for Dynamic Resource Switching.
Proceedings of the IEEE International Solid- State Circuits Conference, 2023

C-DNN: A 24.5-85.8TOPS/W Complementary-Deep-Neural-Network Processor with Heterogeneous CNN/SNN Core Architecture and Forward-Gradient-Based Sparsity Generation.
Proceedings of the IEEE International Solid- State Circuits Conference, 2023

MetaVRain: A 133mW Real-Time Hyper-Realistic 3D-NeRF Processor with 1D-2D Hybrid-Neural Engines for Metaverse on Mobile Devices.
Proceedings of the IEEE International Solid- State Circuits Conference, 2023

A 5.99 TFLOPS/W Heterogeneous CIM-NPU Architecture for an Energy Efficient Floating-Point DNN Acceleration.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2023

A Reconfigurable 1T1C eDRAM-based Spiking Neural Network Computing-In-Memory Processor for High System-Level Efficiency.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2023

A 332 TOPS/W Input/Weight-Parallel Computing-in-Memory Processor with Voltage-Capacitance-Ratio Cell and Time-Based ADC.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2023

COOL-NPU: Complementary Online Learning Neural Processing Unit with CNN-SNN Heterogeneous Core and Event-driven Backpropagation.
Proceedings of the IEEE Symposium in Low-Power and High-Speed Chips, 2023

A Low-power Neural 3D Rendering Processor with Bio-inspired Visual Perception Core and Hybrid DNN Acceleration.
Proceedings of the IEEE Symposium in Low-Power and High-Speed Chips, 2023

LOG-CIM: A 116.4 TOPS/W Digital Computing-In-Memory Processor Supporting a Wide Range of Logarithmic Quantization with Zero-Aware 6T Dual-WL Cell.
Proceedings of the IEEE Asian Solid-State Circuits Conference, 2023

An Energy-Efficient Heterogeneous Fourier Transform-Based Transformer Accelerator with Frequency-Wise Dynamic Bit-Precision.
Proceedings of the IEEE Asian Solid-State Circuits Conference, 2023

2022
A 36.2 dB High SNR and PVT/Leakage-Robust eDRAM Computing-In-Memory Macro With Segmented BL and Reference Cell Array.
IEEE Trans. Circuits Syst. II Express Briefs, 2022

A Low-Power Graph Convolutional Network Processor With Sparse Grouping for 3D Point Cloud Semantic Segmentation in Mobile Devices.
IEEE Trans. Circuits Syst. I Regul. Pap., 2022

ECIM: Exponent Computing in Memory for an Energy-Efficient Heterogeneous Floating-Point DNN Training Processor.
IEEE Micro, 2022

OmniDRL: An Energy-Efficient Deep Reinforcement Learning Processor With Dual-Mode Weight Compression and Sparse Weight Transposer.
IEEE J. Solid State Circuits, 2022

Two-Step Spike Encoding Scheme and Architecture for Highly Sparse Spiking-Neural-Network.
CoRR, 2022

A Study on Cryptocurrency Log-Return Price Prediction Using Multivariate Time-Series Model.
Axioms, 2022

Forecasting Crude Oil Prices with Major S&P 500 Stock Prices: Deep Learning, Gaussian Process, and Vine Copula.
Axioms, 2022

MPSUBoost: A Modified Particle Stacking Undersampling Boosting Method.
IEEE Access, 2022

A 161.6 TOPS/W Mixed-mode Computing-in-Memory Processor for Energy-Efficient Mixed-Precision Deep Neural Networks.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2022

An Efficient High-quality FHD Super-resolution Mobile Accelerator SoC with Hybrid-precision and Energy-efficient Cache.
Proceedings of the 2022 IEEE Hot Chips 34 Symposium, 2022

Neuro-CIM: A 310.4 TOPS/W Neuromorphic Computing-in-Memory Processor with Low WL/BL activity and Digital-Analog Mixed-mode Neuron Firing.
Proceedings of the 2022 IEEE Hot Chips 34 Symposium, 2022

An 0.92 mJ/frame High-quality FHD Super-resolution Mobile Accelerator SoC with Hybrid-precision and Energy-efficient Cache.
Proceedings of the IEEE Custom Integrated Circuits Conference, 2022

2021
A 43.1TOPS/W Energy-Efficient Absolute-Difference-Accumulation Operation Computing-In-Memory With Computation Reuse.
IEEE Trans. Circuits Syst. II Express Briefs, 2021

A 64.1mW Accurate Real-Time Visual Object Tracking Processor With Spatial Early Stopping on Siamese Network.
IEEE Trans. Circuits Syst. II Express Briefs, 2021

An Energy-Efficient GAN Accelerator With On-Chip Training for Domain-Specific Optimization.
IEEE J. Solid State Circuits, 2021

The taut string approach to statistical inverse problems: Theory and applications.
J. Comput. Appl. Math., 2021

An Overview of Sparsity Exploitation in CNNs for On-Device Intelligence With Software-Hardware Cross-Layer Optimizations.
IEEE J. Emerg. Sel. Topics Circuits Syst., 2021

GST: Group-Sparse Training for Accelerating Deep Reinforcement Learning.
CoRR, 2021

Frame Control-Based Terrestrial UHD (ATSC 3.0) Buffer Model for Dynamic Content Insertion.
IEEE Access, 2021

OmniDRL: A 29.3 TFLOPS/W Deep Reinforcement Learning Processor with Dualmode Weight Compression and On-chip Sparse Weight Transposer.
Proceedings of the 2021 Symposium on VLSI Circuits, Kyoto, Japan, June 13-19, 2021, 2021

A 13.7 TFLOPS/W Floating-point DNN Processor using Heterogeneous Computing Architecture with Exponent-Computing-in-Memory.
Proceedings of the 2021 Symposium on VLSI Circuits, Kyoto, Japan, June 13-19, 2021, 2021

PNNPU: A 11.9 TOPS/W High-speed 3D Point Cloud-based Neural Network Processor with Block-based Point Processing for Regular DRAM Access.
Proceedings of the 2021 Symposium on VLSI Circuits, Kyoto, Japan, June 13-19, 2021, 2021

OmniDRL: An Energy-Efficient Mobile Deep Reinforcement Learning Accelerators with Dual-mode Weight Compression and Direct Processing of Compressed Data.
Proceedings of the IEEE Hot Chips 33 Symposium, 2021

An Energy-efficient Floating-Point DNN Processor using Heterogeneous Computing Architecture with Exponent-Computing-in-Memory.
Proceedings of the IEEE Hot Chips 33 Symposium, 2021

PNNPU: A Fast and Efficient 3D Point Cloud-based Neural Network Processor with Block-based Point Processing for Regular DRAM Access.
Proceedings of the IEEE Hot Chips 33 Symposium, 2021

Energy-Efficient Deep Reinforcement Learning Accelerator Designs for Mobile Autonomous Systems.
Proceedings of the 3rd IEEE International Conference on Artificial Intelligence Circuits and Systems, 2021

2020
A 54.7 fps 3D Point Cloud Semantic Segmentation Processor with Sparse Grouping Based Dilated Graph Convolutional Network for Mobile Devices.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2020

2018
Korean Singing Voice Synthesis Based on an LSTM Recurrent Neural Network.
Proceedings of the Interspeech 2018, 2018

Deep Learning for Image Quality Assessment of Fundus Images in Retinopathy of Prematurity.
Proceedings of the AMIA 2018, 2018

2017
A New Privacy Aware Payment Scheme for Wireless Charging of Electric Vehicles.
Wirel. Pers. Commun., 2017

PB-MII: replacing static RSUs with public buses-based mobile intermediary infrastructure in urban VANET-based clouds.
Clust. Comput., 2017

Automated Image Quality Assessment for Fundus Images in Retinopathy of Prematurity.
Proceedings of the AMIA 2017, 2017

2016
Traffic Information Dissemination System: Extending Cooperative Awareness Among Smart Vehicles with only Single-Hop Beacons in VANET.
Wirel. Pers. Commun., 2016

Prioritizing hypothesis tests for high throughput data.
Bioinform., 2016

Speaker Adaptation of Various Components in Deep Neural Network based Speech Synthesis.
Proceedings of the 9th ISCA Speech Synthesis Workshop, 2016

2015
Multiple feed-forward deep neural networks for statistical parametric speech synthesis.
Proceedings of the INTERSPEECH 2015, 2015

2014
Using public buses as mobile gateways in vehicular clouds.
Proceedings of the IEEE International Conference on Consumer Electronics, 2014

2013
Contrast Enhancement Using Dominant Brightness Level Analysis and Adaptive Intensity Transformation for Remote Sensing Images.
IEEE Geosci. Remote. Sens. Lett., 2013

Traffic information system: A lightweight geocast-based piggybacking strategy for cooperative awareness in VANET.
Proceedings of the IEEE International Conference on Consumer Electronics, 2013

Spatially adaptive antialiasing for enhancement of mobile imaging systems using combined wavelet-fourier transforms.
Proceedings of the IEEE International Conference on Consumer Electronics, 2013

Vehicle Witnesses as a Service: Leveraging Vehicles as Witnesses on the Road in VANET Clouds.
Proceedings of the IEEE 5th International Conference on Cloud Computing Technology and Science, 2013

2012
Multifocusing and Depth Estimation Using a Color Shift Model-Based Computational Camera.
IEEE Trans. Image Process., 2012

Privacy-Aware VANET Security: Putting Data-Centric Misbehavior and Sybil Attack Detection Schemes into Practice.
Proceedings of the Information Security Applications - 13th International Workshop, 2012

AntiSybil: Standing against Sybil Attacks in Privacy-Preserved VANET.
Proceedings of the 2012 International Conference on Connected Vehicles and Expo, 2012

ID-based key management scheme using threshold decryption for OPMD environment.
Proceedings of the IEEE International Conference on Consumer Electronics, 2012

Key management scheme using secret sharing for multi-device based DRM.
Proceedings of the IEEE International Conference on Consumer Electronics, 2012

Conditional privacy preserving security protocol for NFC applications.
Proceedings of the IEEE International Conference on Consumer Electronics, 2012

Rethinking Vehicular Communications: Merging VANET with cloud computing.
Proceedings of the 4th IEEE International Conference on Cloud Computing Technology and Science Proceedings, 2012

2011
Vaguelette-wavelet decomposition for frequency adaptive image restoration using directional wavelet bases.
IEEE Trans. Consumer Electron., 2011

2010
Color shift model-based image enhancement for digital multifocusing based on a multiple color-filter aperture camera.
IEEE Trans. Consumer Electron., 2010

Wavelet-domain color image enhancement using filtered directional bases and frequency-adaptive shrinkage.
IEEE Trans. Consumer Electron., 2010

A Simple Privacy Preserving Route Tracing Mechanism for VANET.
Proceedings of the 71st IEEE Vehicular Technology Conference, 2010

2009
Real-time bayer-domain image restoration for an extended depth of field (EDoF) camera.
IEEE Trans. Consumer Electron., 2009

Analysis of Fuzzy Entropy and Similarity Measure for Non Convex Membership Functions.
Int. J. Fuzzy Log. Intell. Syst., 2009

Towards Privacy Aware Pseudonymless Strategy for Avoiding Profile Generation in VANET.
Proceedings of the Information Security Applications, 10th International Workshop, 2009

Computational filter-aperture approach for single-view multi-focusing.
Proceedings of the International Conference on Image Processing, 2009

Ringing Artifact Removal in Digital Restored Images Using Multi-Resolution Edge Map.
Proceedings of the Signal Processing, Image Processing and Pattern Recognition, 2009

2008
Efficient RFID Search Protocols Using Counters.
IEICE Trans. Commun., 2008

A New Hash-Based RFID Mutual Authentication Protocol Providing Enhanced User Privacy Protection.
Proceedings of the Information Security Practice and Experience, 2008

Comparative Study with Fuzzy Entropy and Similarity Measure: One-to-One Correspondence.
Proceedings of the Advanced Intelligent Computing Theories and Applications. With Aspects of Contemporary Intelligent Computing Techniques, 2008

Design of Fuzzy Entropy for Non Convex Membership Function.
Proceedings of the Advanced Intelligent Computing Theories and Applications. With Aspects of Contemporary Intelligent Computing Techniques, 2008

2007
Field Trials for Terrestrial Digital Multimedia Broadcasting System.
IEEE Trans. Broadcast., 2007

Two-Band Excitation for HMM-Based Speech Synthesis.
IEICE Trans. Inf. Syst., 2007

A Practical Way to Provide Perfect Forward Secrecy for Secure E-Mail Protocols.
Proceedings of the Distributed Computing and Internet Technology, 2007

A Privacy Protecting UMTS AKA Protocol Providing Perfect Forward Secrecy.
Proceedings of the Computational Science and Its Applications, 2007

An Efficient Bandwidth Request Mechanism for Non-Real-Time Services in IEEE 802.16 Systems.
Proceedings of the Second International Conference on COMmunication System softWAre and MiddlewaRE (COMSWARE 2007), 2007

A Secure Location Service for Ad Hoc Position-Based Routing Using Self-signed Locations.
Proceedings of the Cryptology and Network Security, 6th International Conference, 2007

2006
HMM-based Korean speech synthesis system for hand-held devices.
IEEE Trans. Consumer Electron., 2006

Implementation and Evaluation of an HMM-Based Korean Speech Synthesis System.
IEICE Trans. Inf. Syst., 2006

Ticket-Based Binding Update Protocol for Mobile IPv6.
Proceedings of the Distributed Computing and Internet Technology, 2006

An Efficient Hierarchical Group Key Management Protocol for a Ubiquitous Computing Environment.
Proceedings of the Computational Science and Its Applications, 2006

2005
Optical flow-based real-time object tracking using non-prior training active feature model.
Real Time Imaging, 2005

Feature Fusion-Based Multiple People Tracking.
Proceedings of the Advances in Multimedia Information Processing, 2005

Pose-Invariant Face Detection Using Edge-Like Blob Map and Fuzzy Logic.
Proceedings of the Innovations in Applied Artificial Intelligence, 2005

Enhanced ID-Based Authenticated Key Agreement Protocols for a Multiple Independent PKG Environment.
Proceedings of the Information and Communications Security, 7th International Conference, 2005

Identity-Based Key Agreement Protocols in a Multiple PKG Environment.
Proceedings of the Computational Science and Its Applications, 2005

A Space-Efficient Algorithm for Pre-distributing Pairwise Keys in Sensor Networks.
Proceedings of the Computational Science, 2005

Optimal Space Launcher Design Using a Refined Response Surface Method.
Proceedings of the Fuzzy Systems and Knowledge Discovery, Second International Conference, 2005

A New Spectral Smoothing Algorithm for Unit Concatenating Speech Synthesis.
Proceedings of the AI 2005: Advances in Artificial Intelligence, 2005

Study on Emotional Speech Features in Korean with Its Application to Voice Conversion.
Proceedings of the Affective Computing and Intelligent Interaction, 2005

2004
Fair Offline Payment Using Verifiable Encryption.
Proceedings of the Information Security Applications, 5th International Workshop, 2004

Using a Non-prior Training Active Feature Model.
Proceedings of the Advances in Multimedia Information Processing - PCM 2004, 5th Pacific Rim Conference on Multimedia, Tokyo, Japan, November 30, 2004

Study on emotional speech features in Korean with its aplication to voice color conversion.
Proceedings of the INTERSPEECH 2004, 2004

Performance analysis of color spaces for optimally fitting the active shape model.
Proceedings of the Computational Imaging II, San Jose, 2004

Dynamic region-of-interest acquisition and face tracking for intelligent surveillance system.
Proceedings of the Computational Imaging II, San Jose, 2004

A New Universally Verifiable and Receipt-Free Electronic Voting Scheme Using One-Way Untappable Channels.
Proceedings of the Content Computing, Advanced Workshop on Content Computing, 2004

2002
A new electronic check system with reusable refunds.
Int. J. Inf. Sec., 2002


  Loading...